stringtranslate.com

Itanio

Itanium ( / ˈ t n i ə m / ; eye- TAY -nee-əm ) es una familia discontinuada de microprocesadores Intel de 64 bits que implementan la arquitectura Intel Itanium (anteriormente llamada IA-64). La arquitectura Itanium se originó en Hewlett-Packard (HP), y luego fue desarrollada conjuntamente por HP e Intel. Lanzado en junio de 2001, Intel comercializó inicialmente los procesadores para servidores empresariales y sistemas informáticos de alto rendimiento . En la fase de concepto, los ingenieros dijeron "podríamos dar vueltas alrededor de PowerPC... podríamos matar al x86". Las primeras predicciones fueron que IA-64 se expandiría a los servidores de gama baja, suplantando a Xeon, y eventualmente penetraría en las computadoras personales , eventualmente para suplantar las arquitecturas de computación de conjunto de instrucciones reducidas (RISC) y computación de conjunto de instrucciones complejas (CISC) para todas las aplicaciones de propósito general.

Cuando se lanzó por primera vez en 2001, después de una década de desarrollo, el rendimiento de Itanium fue decepcionante en comparación con los procesadores RISC y CISC mejor establecidos . La emulación para ejecutar aplicaciones y sistemas operativos x86 existentes era particularmente pobre. Los sistemas basados ​​en Itanium fueron producidos por HP y su sucesor Hewlett Packard Enterprise (HPE) como la línea Integrity Servers , y por varios otros fabricantes. En 2008, Itanium fue la cuarta arquitectura de microprocesador más implementada para sistemas de clase empresarial , detrás de x86-64 , Power ISA y SPARC . [6] [ necesita actualización ]

En febrero de 2017, Intel lanzó la generación final, Kittson, para probar a los clientes y en mayo comenzó a enviarse en grandes cantidades. [7] [8] Solo se utilizó en servidores de misión crítica de HPE.

En 2019, Intel anunció que se aceptarían nuevos pedidos de Itanium hasta el 30 de enero de 2020 y que los envíos cesarían el 29 de julio de 2021. [1] Esto se llevó a cabo según lo previsto. [9]

Itanium nunca se vendió bien fuera de los servidores empresariales y los sistemas informáticos de alto rendimiento, y la arquitectura fue finalmente suplantada por la arquitectura x86-64 (también llamada AMD64) de su competidor AMD. x86-64 es una extensión compatible con la arquitectura x86 de 32 bits, implementada por, por ejemplo, la propia línea Xeon de Intel y la línea Opteron de AMD . En 2009, la mayoría de los servidores se enviaban con procesadores x86-64, y dominan los mercados de computadoras de escritorio y portátiles de bajo costo que inicialmente no eran el objetivo de Itanium. [10] En un artículo titulado "El Itanium de Intel finalmente está muerto: el Itanic hundido por el gigante x86", Techspot declaró que "la promesa de Itanium terminó hundida por la falta de soporte heredado de 32 bits y las dificultades para trabajar con la arquitectura para escribir y mantener software", mientras que el sueño de una única ISA dominante se haría realidad con las extensiones AMD64. [11]

Historia

Desarrollo: 1989–2001

Comienzo: 1989–1994

En 1989, HP comenzó a investigar una arquitectura que superara los límites esperados de las arquitecturas de computadoras de conjunto de instrucciones reducido (RISC) causadas por el gran aumento en la complejidad necesaria para ejecutar múltiples instrucciones por ciclo debido a la necesidad de verificación de dependencia dinámica y manejo preciso de excepciones . [c] HP contrató a Bob Rau de Cydrome y Josh Fisher de Multiflow , los pioneros de la computación de palabras de instrucción muy largas (VLIW). Una palabra de instrucción VLIW puede contener varias instrucciones independientes , que pueden ejecutarse en paralelo sin tener que evaluar su independencia. Un compilador debe intentar encontrar combinaciones válidas de instrucciones que puedan ejecutarse al mismo tiempo , realizando efectivamente la programación de instrucciones que los procesadores superescalares convencionales deben hacer en hardware en tiempo de ejecución.

Los investigadores de HP modificaron el VLIW clásico para crear un nuevo tipo de arquitectura, más tarde denominada Computación de instrucciones explícitamente paralelas (EPIC), que se diferencia por: tener bits de plantilla que muestran qué instrucciones son independientes dentro y entre los paquetes de tres instrucciones, lo que permite la ejecución explícitamente paralela de múltiples paquetes y aumenta el ancho de emisión de los procesadores sin necesidad de volver a compilar; por la predicción de instrucciones para reducir la necesidad de bifurcaciones ; y por el enclavamiento completo para eliminar las ranuras de retardo . En EPIC, la asignación de unidades de ejecución a las instrucciones y el momento de su emisión se pueden decidir por hardware, a diferencia del VLIW clásico. HP tenía la intención de utilizar estas características en PA-WideWord, el sucesor planificado de su ISA PA-RISC . EPIC tenía la intención de proporcionar el mejor equilibrio entre el uso eficiente del área de silicio y la electricidad, y la flexibilidad de propósito general. [13] [14] En 1993, HP organizó una competición interna para diseñar las mejores microarquitecturas (simuladas) de tipo RISC y EPIC, dirigida por Jerry Huck y Rajiv Gupta respectivamente. El equipo EPIC ganó, con más del doble del rendimiento simulado del competidor RISC. [15]

Al mismo tiempo, Intel también estaba buscando formas de hacer mejores ISA. En 1989, Intel había lanzado el i860 , que comercializó para estaciones de trabajo, servidores y supercomputadoras iPSC y Paragon . Se diferenciaba de otros RISC por ser capaz de cambiar entre el modo normal de una sola instrucción por ciclo y un modo en el que los pares de instrucciones se definen explícitamente como paralelos para ejecutarlos en el mismo ciclo sin tener que hacer una comprobación de dependencias. Otra característica distintiva eran las instrucciones para una tubería de punto flotante expuesta, que permitía triplicar el rendimiento en comparación con las instrucciones de punto flotante convencionales. Ambas características se dejaron en gran parte sin usar porque los compiladores no las admitían, un problema que más tarde también desafió a Itanium. Sin ellas, el paralelismo del i860 (y, por lo tanto, el rendimiento) no era mejor que el de otros RISC, por lo que fracasó en el mercado. Itanium adoptaría una forma más flexible de paralelismo explícito que el que tenía el i860. [16]

En noviembre de 1993, HP se acercó a Intel, buscando colaboración en una arquitectura futura innovadora. [17] [19] En ese momento, Intel estaba buscando extender x86 a 64 bits en un procesador con nombre en código P7, lo que encontraron desafiante. [20] Más tarde, Intel afirmó que cuatro equipos de diseño diferentes habían explorado extensiones de 64 bits, pero cada uno de ellos concluyó que no era económicamente factible. [21] En la reunión con HP, los ingenieros de Intel quedaron impresionados cuando Jerry Huck y Rajiv Gupta presentaron la arquitectura PA-WideWord que habían diseñado para reemplazar a PA-RISC . "Cuando vimos WideWord, vimos muchas cosas que solo habíamos estado pensando en hacer, ya en todo su esplendor", dijo John Crawford de Intel , quien en 1994 se convirtió en el arquitecto jefe de Merced, y que anteriormente había argumentado en contra de extender el x86 con P7. Gupta, de HP, recordó: "Miré a Albert Yu [el director general de microprocesadores de Intel] a los ojos y le mostré que podíamos superar en velocidad al PowerPC , que podíamos acabar con el PowerPC, que podíamos acabar con el x86". [22] Pronto, Intel y HP comenzaron a mantener conversaciones técnicas exhaustivas en una oficina de HP, donde cada parte tenía seis [25] ingenieros que intercambiaban y discutían la investigación arquitectónica confidencial de ambas empresas. Entonces decidieron utilizar no sólo PA-WideWord, sino también el más experimental HP Labs PlayDoh como fuente de su futura arquitectura conjunta. [12] [26] Convencidos de la superioridad del nuevo proyecto, en 1994 Intel canceló sus planes existentes para P7.

En junio de 1994, Intel y HP anunciaron su esfuerzo conjunto para crear una nueva ISA que adoptaría ideas de Wide Word y VLIW. Yu declaró: "Si yo fuera competencia, estaría muy preocupado. Si crees que tienes futuro, no lo tienes". [22] Sobre el futuro de P7, Intel dijo que la alianza lo afectaría, pero "no está claro" si "abarcaría por completo la nueva arquitectura". [27] [28] Más tarde ese mismo mes, Intel dijo que algunas de las primeras características de la nueva arquitectura comenzarían a aparecer en los chips Intel ya en el P7, pero la versión completa aparecería algún tiempo después. [29] En agosto de 1994, EE Times informó que Intel le dijo a los inversores que P7 estaba siendo reevaluado y posiblemente cancelado a favor del procesador HP. Intel emitió inmediatamente una aclaración, diciendo que P7 todavía se está definiendo y que HP puede contribuir a su arquitectura. Más tarde se confirmó que el nombre en código P7 había pasado de hecho al procesador HP-Intel. A principios de 1996, Intel reveló su nuevo nombre en código, Merced . [30] [31]

HP consideró que ya no era rentable para las empresas de sistemas empresariales individuales como la propia HP desarrollar microprocesadores propietarios, por lo que se asoció con Intel en 1994 para desarrollar la arquitectura IA-64, derivada de EPIC. Intel estaba dispuesta a emprender el gran esfuerzo de desarrollo de IA-64 con la expectativa de que el microprocesador resultante sería utilizado por la mayoría de los fabricantes de sistemas empresariales. HP e Intel iniciaron un gran esfuerzo de desarrollo conjunto con el objetivo de entregar el primer producto, Merced, en 1998. [14]

Diseño y retrasos: 1994-2001

El Merced fue diseñado por un equipo de 500 personas, que Intel admitió más tarde que era demasiado inexperto, con muchos recién graduados universitarios. Crawford (Intel) fue el arquitecto jefe, mientras que Huck (HP) ocupó el segundo puesto. Al principio del desarrollo, HP e Intel tuvieron un desacuerdo en el sentido de que Intel quería más hardware dedicado para más instrucciones de punto flotante. HP prevaleció tras el descubrimiento de un error de hardware de punto flotante en el Pentium de Intel . Cuando se planificó el Merced por primera vez a mediados de 1996, resultó ser demasiado grande, "esto era mucho peor que todo lo que había visto antes", dijo Crawford. Los diseñadores tuvieron que reducir la complejidad (y, por lo tanto, el rendimiento) de los subsistemas, incluida la unidad x86 y reducir la caché L2 a 96 KB. [d] Finalmente, se acordó que el objetivo de tamaño solo se podría alcanzar utilizando el proceso de 180 nm en lugar de los 250 nm previstos . Más tarde surgieron problemas con los intentos de acelerar las rutas críticas sin alterar la velocidad de los otros circuitos. Merced se lanzó el 4 de julio de 1999, y en agosto Intel produjo el primer chip de prueba completo. [22]

Las expectativas para Merced se desvanecieron con el tiempo a medida que surgían retrasos y deficiencias de rendimiento, lo que desplazó el enfoque y la responsabilidad del éxito al segundo diseño Itanium liderado por HP, con nombre en código McKinley . En julio de 1997, el cambio al proceso de 180 nm retrasó a Merced hasta la segunda mitad de 1999. [32] Poco antes de la presentación de EPIC en el Microprocessor Forum en octubre de 1997, un analista del Microprocessor Report dijo que Itanium "no mostraría el rendimiento competitivo hasta 2001. Será necesaria la segunda versión del chip para que se demuestre el rendimiento". [33] En el Foro, Fred Pollack de Intel originó el mantra "espere a McKinley" cuando dijo que duplicaría el rendimiento de Merced y "lo dejaría boquiabierto", [34] [35] mientras usaba el mismo proceso de 180 nm que Merced. [36] Pollack también dijo que el rendimiento x86 de Merced sería inferior al de los procesadores x86 más rápidos, y que x86 "seguiría creciendo a sus tasas históricas". [34] Intel dijo que IA-64 no tendrá mucha presencia en el mercado de consumo durante 5 a 10 años. [37]

Más tarde se informó que la motivación de HP al comenzar a diseñar McKinley en 1996 era tener más control sobre el proyecto para evitar los problemas que afectaban el rendimiento y el cronograma de Merced. [38] [39] El equipo de diseño finalizó los objetivos del proyecto McKinley en 1997. [40] A fines de mayo de 1998, Merced se retrasó hasta mediados de 2000, y en agosto de 1998 los analistas cuestionaban su viabilidad comercial, dado que McKinley llegaría poco después con el doble de rendimiento, ya que los retrasos estaban haciendo que Merced se convirtiera simplemente en un vehículo de desarrollo para el ecosistema Itanium. La narrativa de "esperar a McKinley" se estaba volviendo predominante. [41] El mismo día se informó que debido a los retrasos, HP ampliaría su línea de procesadores PA-RISC de la serie PA-8000 desde PA-8500 hasta PA-8900. [42] En octubre de 1998, HP anunció sus planes para cuatro generaciones más de procesadores PA-RISC, y se prevé que el PA-8900 alcance los 1,2 GHz en 2003. [43]

En marzo de 1999, algunos analistas esperaban que Merced se comercializara en grandes cantidades sólo en 2001, pero se esperaba que el volumen fuera bajo, ya que la mayoría de los clientes esperarían a McKinley. [38] En mayo de 1999, dos meses antes de que Merced se comercializara , un analista dijo que si no se comercializaba antes de julio, se produciría otro retraso. [44] En julio de 1999, tras los informes de que el primer silicio se fabricaría a finales de agosto, los analistas predijeron un retraso hasta finales de 2000 y acordaron que Merced se utilizaría principalmente para depurar y probar el software IA-64. Linley Gwennap de MPR dijo sobre Merced que "en este momento, todo el mundo espera que sea tarde y lento, y que el verdadero avance vendrá de McKinley. Lo que esto hace es poner mucha más presión sobre McKinley y para que ese equipo entregue". [45] Para entonces, Intel había revelado que Merced tendría un precio inicial de 5000 dólares. [46] En agosto de 1999, HP aconsejó a algunos de sus clientes que se saltasen el Merced y esperasen al McKinley. [47] En julio de 2000, HP dijo a la prensa que los primeros sistemas Itanium serían para usos específicos y que "no van a poner este material cerca de su centro de datos durante varios años"; HP esperaba que sus sistemas Itanium superasen en ventas a los sistemas PA-RISC recién en 2005. [48] El mismo julio, Intel informó de otro retraso, debido a un cambio escalonado para corregir errores. Ahora sólo se enviarían "sistemas piloto" ese año, mientras que la disponibilidad general se pospuso hasta la "primera mitad de 2001". Los fabricantes de servidores habían renunciado en gran medida a gastar en I+D para los sistemas basados ​​en Merced, y en su lugar utilizaban placas base o servidores completos de diseño de Intel. Para fomentar un ecosistema amplio, a mediados de 2000 Intel había proporcionado 15.000 Itanium en 5.000 sistemas a desarrolladores de software y diseñadores de hardware. [49] En marzo de 2001, Intel dijo que los sistemas Itanium comenzarían a enviarse a los clientes en el segundo trimestre, seguido de una distribución más amplia en la segunda mitad del año. Para entonces, incluso Intel reconoció públicamente que muchos clientes esperarían a McKinley. [50]

Historial de pronóstico de ventas de servidores Itanium [51] [52]

Esperanzas de heredar

Durante el desarrollo, Intel, HP y analistas de la industria predijeron que IA-64 dominaría primero en servidores y estaciones de trabajo de 64 bits, luego se expandiría a los servidores de gama baja, suplantando a Xeon, y finalmente penetraría en las computadoras personales , eventualmente para suplantar a RISC y arquitecturas de computación de conjunto de instrucciones complejas (CISC) para todas las aplicaciones de propósito general, aunque no reemplazaría a x86 "en el futuro previsible" según Intel. [53] [15] [54] [55] [56] [57] En 1997-1998, el CEO de Intel, Andy Grove, predijo que Itanium no llegaría a las computadoras de escritorio durante cuatro o cinco años después del lanzamiento, y dijo: "No veo a Merced apareciendo en una computadora de escritorio convencional dentro de una década". [58] [15] Por el contrario, se esperaba que Itanium capturara el 70% del mercado de servidores de 64 bits en 2002. [59] Ya en 1998, el enfoque de Itanium en el extremo superior del mercado de computadoras fue criticado por hacerlo vulnerable a los rivales que se expandían desde los segmentos de mercado de gama baja, pero muchas personas en la industria informática temían expresar dudas sobre Itanium por temor a las represalias de Intel. [15] Compaq y Silicon Graphics decidieron abandonar el desarrollo posterior de las arquitecturas Alpha y MIPS respectivamente a favor de migrar a IA-64. [60]

Varios grupos adaptaron sistemas operativos para esta arquitectura, entre ellos Microsoft Windows , OpenVMS , Linux , HP-UX , Solaris , [61] [62] [63] Tru64 UNIX , [60] y Monterey/64 . [64] Los tres últimos fueron cancelados antes de llegar al mercado. En 1997, era evidente que la arquitectura IA-64 y el compilador eran mucho más difíciles de implementar de lo que se pensaba originalmente, y el plazo de entrega de Merced comenzó a retrasarse. [45]

Intel anunció el nombre oficial del procesador, Itanium , el 4 de octubre de 1999. [65] En cuestión de horas, el nombre Itanic había sido acuñado en un grupo de noticias de Usenet , una referencia al RMS Titanic , el transatlántico "insumergible" que se hundió en su viaje inaugural en 1912. [66] "Itanic" fue utilizado a menudo por The Register , [67] y otros, [68] [69] [70] para dar a entender que la inversión multimillonaria en Itanium -y el bombo inicial asociado a ella- sería seguida por su relativamente rápida desaparición.

Itanium (Merced): 2001

Después de haber probado 40.000 chips a los socios, Intel lanzó Itanium el 29 de mayo de 2001, con los primeros sistemas OEM de HP, IBM y Dell enviados a los clientes en junio. [72] [73] Para entonces, el rendimiento de Itanium no era superior al de los procesadores RISC y CISC de la competencia. [74] Itanium competía en la gama baja (principalmente sistemas de cuatro CPU y más pequeños) con servidores basados ​​en procesadores x86 , y en la gama alta con los procesadores IBM POWER y Sun Microsystems SPARC . Intel reposicionó Itanium para centrarse en los mercados de computación empresarial y HPC de alta gama , intentando duplicar el exitoso mercado "horizontal" del x86 (es decir, arquitectura única, múltiples proveedores de sistemas). El éxito de esta versión inicial del procesador se limitó a reemplazar el PA-RISC en los sistemas HP, Alpha en los sistemas Compaq y MIPS en los sistemas SGI , aunque IBM también entregó una supercomputadora basada en este procesador. [75] POWER y SPARC se mantuvieron fuertes, mientras que la arquitectura x86 de 32 bits continuó creciendo en el espacio empresarial, aprovechando las economías de escala impulsadas por su enorme base instalada.

Solo se vendieron unos pocos miles de sistemas que utilizaban el procesador Itanium Merced original, debido a su rendimiento relativamente bajo, su alto coste y la disponibilidad limitada de software. [76] Reconociendo que la falta de software podría ser un problema grave para el futuro, Intel puso miles de estos primeros sistemas a disposición de los proveedores de software independientes (ISV) para estimular el desarrollo. HP e Intel sacaron al mercado el procesador Itanium 2 de próxima generación un año después. Pocas de las características microarquitectónicas de Merced se trasladarían a todos los diseños Itanium posteriores, incluido el tamaño de caché L1 de 16+16 KB y la decodificación de instrucciones de 6 anchos (dos paquetes).

Itanium 2 (McKinley y Madison): 2002-2006

El procesador Itanium 2 se lanzó en julio de 2002 y se comercializó para servidores empresariales en lugar de para toda la gama de computación de alta gama. El primer Itanium 2, cuyo nombre en código era McKinley , fue desarrollado conjuntamente por HP e Intel, liderados por el equipo de HP en Fort Collins, Colorado , y se lanzó en diciembre de 2000. Alivió muchos de los problemas de rendimiento del procesador Itanium original, que se debían principalmente a un subsistema de memoria ineficiente al reducir aproximadamente a la mitad la latencia y duplicar el ancho de banda de relleno de cada uno de los tres niveles de caché, al tiempo que expandía la caché L2 de 96 a 256 KB. Los datos de punto flotante se excluyen de la caché L1, porque el mayor ancho de banda de la caché L2 es más beneficioso para las aplicaciones de punto flotante típicas que la baja latencia. La caché L3 ahora está integrada en el chip en lugar de en una matriz separada, triplicando la asociatividad y duplicando el ancho de bus. McKinley también aumenta enormemente el número de posibles combinaciones de instrucciones en un paquete VLIW y alcanza una frecuencia un 25% mayor, a pesar de tener solo ocho etapas de canalización frente a las diez de Merced. [81] [40]

McKinley contiene 221 millones de transistores (de los cuales 25 millones son para lógica y 181 millones para caché L3), mide 19,5 mm por 21,6 mm (421 mm 2 ) y fue fabricado en un proceso CMOS masivo de 180 nm con seis capas de metalización de aluminio. [82] [83] [84] En mayo de 2003 se reveló que algunos procesadores McKinley pueden sufrir una errata de ruta crítica que conduce al colapso de un sistema. Se puede evitar reduciendo la frecuencia del procesador a 800 MHz. [85]

En 2003, AMD lanzó la CPU Opteron , que implementa su propia arquitectura de 64 bits llamada AMD64 . Opteron ganó una rápida aceptación en el espacio de servidores empresariales porque proporcionaba una fácil actualización desde x86 . Bajo la influencia de Microsoft, Intel respondió implementando la arquitectura de conjunto de instrucciones x86-64 de AMD en lugar de IA-64 en sus microprocesadores Xeon en 2004, lo que resultó en un nuevo estándar de facto para toda la industria . [60]

En 2003 Intel lanzó un nuevo miembro de la familia Itanium 2, con nombre en código Madison , inicialmente con una frecuencia de hasta 1,5 GHz y 6 MB de caché L3. El chip Madison 9M lanzado en noviembre de 2004 tenía 9 MB de caché L3 y una frecuencia de hasta 1,6 GHz, alcanzando 1,67 GHz en julio de 2005. Ambos chips usaban un proceso de 130 nm y fueron la base de todos los nuevos procesadores Itanium hasta que se lanzó Montecito en julio de 2006, específicamente Deerfield siendo un Madison de bajo voltaje , y Fanwood siendo una versión de Madison 9M para servidores de gama baja con uno o dos zócalos de CPU.

En noviembre de 2005, los principales fabricantes de servidores Itanium se unieron a Intel y a varios proveedores de software para formar la Itanium Solutions Alliance para promover la arquitectura y acelerar el esfuerzo de portabilidad del software. [86] La Alianza anunció que sus miembros invertirían 10 mil millones de dólares en la Itanium Solutions Alliance para fines de la década. [87]

Itanium 2 9000 e Itanium 9100: 2006 y 2007

A principios de 2003, debido al éxito del POWER4 de doble núcleo de IBM , Intel anunció que el primer procesador Itanium de 90 nm , con nombre en código Montecito , se retrasaría hasta 2005 para cambiarlo a un procesador de doble núcleo, fusionándolo así con el proyecto Chivano . [89] [90] En septiembre de 2004, Intel demostró un sistema Montecito en funcionamiento y afirmó que la inclusión de hyper-threading aumenta el rendimiento de Montecito en un 10-20% y que su frecuencia podría alcanzar los 2 GHz. [91] [92] Después de un retraso hasta "mediados de 2006" y la reducción de la frecuencia a 1,6 GHz, [93] el 18 de julio Intel entregó Montecito (comercializado como la serie Itanium 2 9000 ), un procesador de doble núcleo con un multihilo de evento de encendido y cachés L2 divididos de 256 KB + 1 MB que prácticamente duplicaron el rendimiento y redujeron el consumo de energía en aproximadamente un 20 por ciento. [94] Con un tamaño de matriz de 596 mm² y 1.72 mil millones de transistores, era el microprocesador más grande en ese momento. Se suponía que presentaría Foxton Technology , un regulador de frecuencia muy sofisticado, que no pasó la validación y, por lo tanto, no estaba habilitado para los clientes.

Intel lanzó la serie Itanium 9100 , con nombre en código Montvale , en noviembre de 2007, retirando la marca "Itanium 2". [95] Originalmente destinado a utilizar el proceso de 65 nm , [96] se cambió a una solución de Montecito, lo que permitió la conmutación basada en la demanda (como EIST ) y hasta 667 MT/s de bus frontal , que estaban destinados a Montecito, además de un bloqueo a nivel de núcleo . [91] Montecito y Montvale fueron los últimos procesadores Itanium en los que el equipo de ingeniería de diseño de Hewlett-Packard en Fort Collins tuvo un papel clave, ya que el equipo fue posteriormente transferido a la propiedad de Intel. [97]

Itanium 9300 (Tukwila): 2010

Procesador Intel Itanium 9300
Procesador Intel Itanium 9300 LGA
Zócalo Intel Itanium 9300 Intel LGA 1248
Intel Itanium 9300 con tapa quitada

El nombre en código original para el primer Itanium con más de dos núcleos era Tanglewood, pero se cambió a Tukwila a fines de 2003 debido a problemas de marca registrada. [99] [100] Intel habló de un "Itanium de mediados de la década" para suceder a Montecito, logrando diez veces el rendimiento de Madison. [101] [90] Estaba siendo diseñado por el famoso equipo DEC Alpha y se esperaba que tuviera ocho nuevos núcleos enfocados en multihilo. Intel afirmó "mucho más de dos" núcleos y más de siete veces el rendimiento de Madison. [102] [103] [104] A principios de 2004, Intel habló de "planes para lograr hasta el doble del rendimiento sobre la familia de procesadores Intel Xeon a paridad de costo de plataforma para 2007". [105] A principios de 2005, Tukwila se redefinió, ahora con menos núcleos pero enfocado en el rendimiento de un solo subproceso y la escalabilidad de multiprocesador. [106]

En marzo de 2005, Intel reveló algunos detalles de Tukwila, el siguiente procesador Itanium después de Montvale, que se lanzaría en 2007. Tukwila tendría cuatro núcleos de procesador y reemplazaría el bus Itanium con una nueva Interfaz de Sistema Común , que también sería utilizada por un nuevo procesador Xeon. [107] Tukwila iba a tener una "arquitectura de plataforma común" con un Xeon con nombre en código Whitefield , [96] que fue cancelada en octubre de 2005, [108] cuando Intel revisó la fecha de entrega de Tukwila a fines de 2008. [109] En mayo de 2009, el cronograma para Tukwila fue revisado nuevamente, con el lanzamiento a los OEM planeado para el primer trimestre de 2010. [110] El procesador de la serie Itanium 9300 , con nombre en código Tukwila , fue lanzado el 8 de febrero de 2010, con mayor rendimiento y capacidad de memoria. [111]

El dispositivo utiliza un proceso de 65 nm, incluye de dos a cuatro núcleos, hasta 24  MB de caché en el chip, tecnología Hyper-Threading y controladores de memoria integrados. Implementa la corrección de datos de doble dispositivo , lo que ayuda a corregir errores de memoria. Tukwila también implementa Intel QuickPath Interconnect (QPI) para reemplazar la arquitectura basada en bus Itanium. Tiene un ancho de banda máximo entre procesadores de 96 GB/s y un ancho de banda máximo de memoria de 34 GB/s. Con QuickPath, el procesador tiene controladores de memoria integrados e interconecta la memoria directamente, utilizando interfaces QPI para conectarse directamente a otros procesadores y concentradores de E/S. QuickPath también se utiliza en procesadores Intel x86-64 que utilizan la microarquitectura Nehalem , lo que posiblemente permitió a Tukwila y Nehalem utilizar los mismos conjuntos de chips. [112] Tukwila incorpora dos controladores de memoria, cada uno de los cuales tiene dos enlaces a búferes de memoria escalables, que a su vez admiten múltiples DIMM DDR3 , [113] muy similar al procesador Xeon basado en Nehalem cuyo nombre en código es Beckton . [114]

HP frente a Oracle

Durante la demanda de soporte de 2012 entre Hewlett-Packard Co. y Oracle Corp. , documentos judiciales revelados por un juez del Tribunal del Condado de Santa Clara revelaron que en 2008, Hewlett-Packard había pagado a Intel alrededor de 440 millones de dólares para seguir produciendo y actualizando microprocesadores Itanium desde 2009 hasta 2014. En 2010, las dos compañías firmaron otro acuerdo de 250 millones de dólares, que obligaba a Intel a seguir fabricando CPU Itanium para las máquinas de HP hasta 2017. Según los términos de los acuerdos, HP tenía que pagar por los chips que obtiene de Intel, mientras que Intel lanza los chips Tukwila, Poulson, Kittson y Kittson+ en un intento de aumentar gradualmente el rendimiento de la plataforma. [115] [116]

Itanium 9500 (Poulson): 2012

Intel mencionó a Poulson por primera vez el 1 de marzo de 2005, en el IDF de primavera . [117] En junio de 2007, Intel dijo que Poulson utilizaría una tecnología de proceso de 32 nm , omitiendo el proceso de 45 nm . [118] Esto fue necesario para ponerse al día después de que los retrasos de Itanium lo dejaran en 90 nm compitiendo contra procesadores de 65 nm y 45 nm .

En la ISSCC 2011, Intel presentó un documento titulado "Un procesador Itanium de 32 nm y 3,1 mil millones de transistores y 12 núcleos para servidores de misión crítica". [119] [120] El analista David Kanter especuló que Poulson utilizaría una nueva microarquitectura, con una forma más avanzada de multihilo que utiliza hasta dos hilos, para mejorar el rendimiento de las cargas de trabajo monohilo y multihilo. [121] También se publicó cierta información en la conferencia Hot Chips . [122] [123]

La información presentó mejoras en subprocesamiento múltiple, mejoras de resiliencia ( Intel Instruction Replay RAS) y algunas instrucciones nuevas (prioridad de subprocesos, instrucciones de números enteros, precarga de caché y sugerencias de acceso a datos).

Poulson fue lanzado el 8 de noviembre de 2012 como el procesador de la serie Itanium 9500. Es el procesador sucesor de Tukwila. Cuenta con ocho núcleos y tiene una arquitectura de 12 núcleos de ancho, mejoras de subprocesamiento múltiple y nuevas instrucciones para aprovechar el paralelismo, especialmente en la virtualización. [112] [124] [125] El tamaño de la caché L3 de Poulson es de 32 MB y es común para todos los núcleos, no está dividida como antes. El tamaño de la caché L2 es de 6 MB, 512 I  KB , 256 D KB por núcleo. [119] El tamaño de la matriz es de 544 mm², menos que su predecesor Tukwila (698,75 mm²). [126] [127]

La Notificación de cambio de producto (PCN) 111456-01 de Intel enumera cuatro modelos de CPU de la serie Itanium 9500 , que luego se eliminaron en un documento revisado. [128] Las piezas se incluyeron más tarde en la base de datos de Hojas de datos de declaración de materiales (MDDS) de Intel. [129] Intel publicó más tarde el manual de referencia de Itanium 9500. [130]

Los modelos son los siguientes: [128] [131]

Itanium 9700 (Kittson): 2017

Intel se había comprometido a al menos una generación más después de Poulson, mencionando por primera vez a Kittson el 14 de junio de 2007. [118] Se suponía que Kittson tendría un proceso de 22 nm y usaría el mismo zócalo LGA2011 y plataforma que los Xeon . [132] [133] [134] El 31 de enero de 2013, Intel emitió una actualización de sus planes para Kittson: tendría el mismo zócalo LGA1248 y proceso de 32 nm que Poulson, deteniendo así efectivamente cualquier desarrollo adicional de procesadores Itanium. [135]

En abril de 2015, Intel, aunque todavía no había confirmado las especificaciones formales, confirmó que seguía trabajando en el proyecto. [136] Mientras tanto, la agresiva plataforma multinúcleo Xeon E7 desplazó a las soluciones basadas en Itanium en la hoja de ruta de Intel. [137] Incluso Hewlett-Packard , el principal defensor y cliente de Itanium, comenzó a vender servidores Superdome y NonStop basados ​​en x86 , y comenzó a tratar las versiones basadas en Itanium como productos heredados. [138] [139]

Intel lanzó oficialmente la familia de procesadores de la serie Itanium 9700 el 11 de mayo de 2017. [140] [8] Kittson no tiene mejoras de microarquitectura sobre Poulson; a pesar de tener nominalmente una versión diferente, es funcionalmente idéntico a la serie 9500, incluso tiene exactamente los mismos errores, la única diferencia es la frecuencia 133 MHz más alta de 9760 y 9750 sobre 9560 y 9550 respectivamente. [141] [142]

Intel anunció que la serie 9700 sería la última de los chips Itanium producidos. [7] [8]

Los modelos son: [143]

Cuota de mercado

En comparación con su familia de procesadores para servidores Xeon , Itanium nunca fue un producto de gran volumen para Intel. Intel no publica cifras de producción, pero un analista de la industria estimó que la tasa de producción fue de 200.000 procesadores por año en 2007. [144]

Según Gartner Inc. , el número total de servidores Itanium (no procesadores) vendidos por todos los proveedores en 2007 fue de aproximadamente 55.000 (no está claro si los servidores agrupados contaban como un solo servidor o no). Esto se compara con 417.000 servidores RISC (repartidos entre todos los proveedores RISC) y 8,4 millones de servidores x86. IDC informa que se vendieron un total de 184.000 sistemas basados ​​en Itanium entre 2001 y 2007. Para el mercado combinado de sistemas POWER/SPARC/Itanium, IDC informa que POWER capturó el 42% de los ingresos y SPARC capturó el 32%, mientras que los ingresos de los sistemas basados ​​en Itanium alcanzaron el 26% en el segundo trimestre de 2008. [145] Según un analista de IDC, en 2007, HP representó quizás el 80% de los ingresos de los sistemas Itanium. [94] Según Gartner, en 2008, HP representó el 95% de las ventas de Itanium. [146] Las ventas del sistema Itanium de HP alcanzaron una tasa anual de 4.400 millones de dólares a finales de 2008, y disminuyeron a 3.500 millones de dólares a finales de 2009, [10] en comparación con una disminución del 35% en los ingresos del sistema UNIX para Sun y una caída del 11% para IBM, con un aumento de los ingresos del servidor x86-64 del 14% durante este período.

En diciembre de 2012, IDC publicó un informe de investigación que afirmaba que los envíos de servidores Itanium se mantendrían estables hasta 2016, con un envío anual de 26.000 sistemas (una disminución de más del 50% en comparación con los envíos en 2008). [147]

Soporte de hardware

Sistemas

En 2006, HP fabricó al menos el 80% de todos los sistemas Itanium y vendió 7.200 en el primer trimestre de 2006. [148] La mayor parte de los sistemas vendidos fueron servidores empresariales y máquinas para computación técnica a gran escala, con un precio de venta promedio por sistema superior a los 200.000 dólares estadounidenses. Un sistema típico utilizaba ocho o más procesadores Itanium.

En 2012, solo unos pocos fabricantes ofrecían sistemas Itanium, entre ellos HP , Bull , NEC , Inspur y Huawei . Además, Intel ofrecía un chasis que los integradores de sistemas podían utilizar para construir sistemas Itanium. [149]

En 2015, solo HP suministraba sistemas basados ​​en Itanium. [136] Cuando HP se dividió a fines de 2015, los sistemas Itanium (con la marca Integrity ) [150] fueron manejados por Hewlett Packard Enterprise (HPE), con una actualización importante en 2017 (Integrity i6 y HP-UX 11i v3 Update 16). HPE también admite algunos otros sistemas operativos, incluidos Windows hasta Server 2008 R2, Linux , OpenVMS y NonStop . Itanium no se ve afectado por Spectre o Meltdown . [151]

Conjuntos de chips

Antes de la serie 9300 ( Tukwila ), se necesitaban chipsets para conectarse a la memoria principal y a los dispositivos de E/S, ya que el bus frontal del chipset era la única conexión operativa con el procesador. [e] Existían dos generaciones de buses: el bus del sistema del procesador Itanium original (también conocido como bus Merced ) tenía un ancho de datos de 64 bits y una frecuencia de reloj de 133 MHz con DDR (266 MT/s), siendo pronto reemplazado por el bus del sistema del procesador Itanium 2 de 128 bits y 200 MHz DDR (400 MT/s) (también conocido como bus McKinley ), que más tarde alcanzó 533 y 667 MT/s. Se podían utilizar hasta cuatro CPU por bus individual, pero antes de la serie 9000 las velocidades del bus de más de 400 MT/s estaban limitadas a hasta dos procesadores por bus. [152] [153] Como ningún chipset Itanium podía conectarse a más de cuatro sockets, los servidores de alta gama necesitaban múltiples chipsets interconectados.

El modelo de procesador Itanium "Tukwila" había sido diseñado para compartir un chipset común con el procesador Intel Xeon EX (el procesador Xeon de Intel diseñado para servidores de cuatro procesadores y más grandes). El objetivo era agilizar el desarrollo del sistema y reducir los costos para los fabricantes de equipos originales (OEM) de servidores, muchos de los cuales desarrollan servidores basados ​​tanto en Itanium como en Xeon. Sin embargo, en 2013, este objetivo se pospuso para ser "evaluado para futuras oportunidades de implementación". [154]

En la época anterior a los controladores de memoria en chip y QPI , los fabricantes de servidores empresariales diferenciaban sus sistemas mediante el diseño y desarrollo de chipsets que interconectaban el procesador con la memoria, las interconexiones y los controladores periféricos. El término "servidor empresarial" hacía referencia al entonces lucrativo segmento de mercado de servidores de gama alta con alta fiabilidad, disponibilidad y capacidad de mantenimiento y, por lo general, con más de 16 zócalos de procesador, justificando su precio por tener una arquitectura personalizada a nivel de sistema con sus propios chipsets en su núcleo, con capacidades mucho más allá de lo que podían ofrecer los "servidores básicos" de dos zócalos. El desarrollo de un chipset cuesta decenas de millones de dólares y, por lo tanto, representó un importante compromiso con el uso de Itanium.

Ni Intel ni IBM desarrollarían chipsets Itanium 2 para soportar tecnologías más nuevas como DDR2 o PCI Express . [155] Antes de que "Tukwila" se alejara del FSB, todos los proveedores de servidores Itanium, como HP, Fujitsu, SGI, NEC y Hitachi, fabricaban chipsets que soportaban dichas tecnologías.

Intel

La primera generación de Itanium no recibió chipsets específicos de ningún fabricante, solo el 460GX de Intel, que constaba de diez chips distintos. Admitía hasta cuatro CPU y 64 GB de memoria a 4,2 GB/s, que es el doble del ancho de banda del bus del sistema. Las direcciones y los datos se manejaban mediante dos chips diferentes. El 460GX tenía un bus gráfico AGP X4, dos buses PCI de 64 bits a 66 MHz y un bus PCI configurable de 33 MHz de 32 bits doble o de 64 bits simple. [156]

Hubo muchos diseños de chipset personalizados para Itanium 2, pero muchos proveedores más pequeños eligieron usar el chipset E8870 de Intel. Admite 128 GB de DDR SDRAM a 6,4 GB/s. Originalmente fue diseñado para la memoria serial Rambus RDRAM , pero cuando la RDRAM no tuvo éxito, Intel agregó cuatro chips convertidores DDR SDRAM a RDRAM al chipset. [157] Cuando Intel había fabricado previamente un convertidor de este tipo para los chipsets Pentium III 820 y 840, redujo drásticamente el rendimiento. [158] [159] E8870 proporciona ocho buses PCI-X de 133 MHz (4,2 GB/s en total debido a los cuellos de botella) y un concentrador ICH4 con seis puertos USB 2.0 . Dos E8870 se pueden conectar entre sí mediante dos conmutadores de puerto de escalabilidad E8870SP, cada uno con un filtro de rastreo de 1 MB (~200.000 líneas de caché) , para crear un sistema de 8 zócalos con el doble de memoria y capacidad PCI-X, pero todavía solo un ICH4. Se planeó una mayor expansión a 16 zócalos. [160] [161] [162] En 2004, Intel reveló planes para su próximo chipset Itanium, con nombre en código Bayshore , para soportar memoria PCI-e y DDR2 , pero lo canceló el mismo año. [163] [155]

Hewlett Packard

HP ha diseñado cuatro chipsets diferentes para Itanium 2: zx1, sx1000, zx2 y sx2000. Todos admiten 4 zócalos por chipset, pero sx1000 y sx2000 admiten la interconexión de hasta 16 chipsets para crear un sistema de hasta 64 zócalos. Como fue desarrollado en colaboración con el desarrollo de Itanium 2, arrancando el primer Itanium 2 en febrero de 2001, [164] zx1 se convirtió en el primer chipset Itanium 2 disponible y más tarde en 2004 también en el primero en soportar FSB de 533 MT/s. En su versión básica de dos chips proporciona directamente cuatro canales de memoria DDR-266 , lo que da 8,5 GB/s de ancho de banda y 32 GB de capacidad (a través de 12 ranuras DIMM). [165] En las versiones con placas de expansión de memoria, el ancho de banda de la memoria alcanza los 12,8 GB/s, mientras que la capacidad máxima para los primeros expansores de dos placas con 48 DIMM era de 96 GB, y la del posterior expansor de una sola placa con 32 DIMM, de hasta 128 GB. La latencia de la memoria aumenta en 25 nanosegundos desde los 80 ns debido a los expansores. Ocho enlaces independientes iban al PCI-X y a otros dispositivos periféricos (por ejemplo, AGP en estaciones de trabajo), con un total de 4 GB/s. [166] [167]

El primer chipset Itanium de gama alta de HP fue el sx1000, lanzado a mediados de 2003 con el servidor insignia Integrity Superdome . Tiene dos buses frontales independientes, cada bus admite dos zócalos, lo que proporciona 12,8 GB/s de ancho de banda combinado desde los procesadores hasta el chipset. Tiene cuatro enlaces a búferes de memoria de solo datos y admite 64 GB de memoria de 125 MHz diseñada por HP a 16 GB/s. Los componentes anteriores forman una placa de sistema llamada celda . Se pueden conectar dos celdas directamente entre sí para crear un sistema sin pegamento de 8 zócalos. Para conectar cuatro celdas entre sí, se necesita un par de conmutadores de barra cruzada de 8 puertos (lo que agrega 64 ns a los accesos de memoria entre celdas), mientras que se necesitan cuatro pares de conmutadores de barra cruzada para el sistema de gama alta de 16 celdas (64 zócalos), lo que proporciona 32 GB/s de ancho de banda de bisección . Las celdas mantienen la coherencia de la caché a través de directorios en memoria , lo que hace que la latencia mínima de la memoria sea de 241 ns. La latencia a la memoria más remota ( NUMA ) es de 463 ns. El ancho de banda por celda a los subsistemas de E/S es de 2 GB/s, a pesar de la presencia de buses PCI-X de 8 GB/s en cada subsistema de E/S. [168] [169] [170]

HP lanzó el sx2000 en marzo de 2006 para suceder al sx1000. Sus dos FSB funcionan a 533 MT/s. Admite hasta 128 GB de memoria a 17 GB/s. La memoria es de diseño personalizado de HP, utilizando el protocolo DDR2 , pero el doble de alta que los módulos estándar y con contactos redundantes de dirección y señal de control. Para la comunicación entre chipsets, hay disponibles 25,5 GB/s en cada sx2000 a través de sus tres enlaces seriales que pueden conectarse a un conjunto de tres barras cruzadas independientes , que se conectan a otras celdas o hasta a otros 3 conjuntos de 3 barras cruzadas. Las configuraciones multicelda son las mismas que con el sx1000, excepto que el paralelismo de los conjuntos de barras cruzadas se ha aumentado de 2 a 3. La configuración máxima de 64 zócalos tiene 72 GB/s de ancho de banda de bisección sostenible . La conexión del chipset a su módulo de E/S ahora es serial con un pico de 8,5 GB/s y un ancho de banda sostenido de 5,5 GB/s, y el módulo de E/S tiene 12 buses PCI-X de hasta 266 MHz, o 6 buses PCI-X y 6 ranuras PCIe 1.1 ×8. Es el último chipset compatible con los procesadores PA-RISC de HP ( PA-8900 ). [171]

HP lanzó los primeros servidores basados ​​en zx2 en septiembre de 2006. zx2 puede operar el FSB a 667 MT/s con dos CPU o 533 MT/s con cuatro CPU. Se conecta a la memoria DDR2 ya sea directamente, admitiendo 32 GB a hasta 14,2 GB/s, o a través de placas de expansión, admitiendo hasta 384 GB a 17 GB/s. La latencia mínima de apertura de página es de 60 a 78 ns. 9,8 GB/s están disponibles a través de ocho enlaces independientes a los adaptadores de E/S, que pueden incluir PCIe ×8 o PCI-X de 266 MHz. [172] [173]

Otros

En mayo de 2003, IBM lanzó el chipset XA-64 para Itanium 2. Utilizaba muchas de las mismas tecnologías que las dos primeras generaciones de chipsets XA-32 para Xeon , pero en el momento de la tercera generación XA-32 IBM había decidido descontinuar sus productos Itanium. XA-64 admitía 56 GB de DDR SDRAM en 28 ranuras a 6,4 GB/s, aunque debido a cuellos de botella solo 3,2 GB/s podían ir a la CPU y otros 2 GB/s a los dispositivos para un total de 5,2 GB/s. El cuello de botella de la memoria de la CPU se mitigó mediante una caché L4 DRAM de 64 MB fuera del chip , que también funcionó como un filtro de espionaje en sistemas de múltiples chipsets. El ancho de banda combinado de los cuatro buses PCI-X y otras E/S está limitado a 2 GB/s por chipset. Se pueden conectar dos o cuatro chipsets para formar un sistema de 8 o 16 zócalos. [174]

Los superordenadores y servidores Altix de SGI utilizaban el chipset SHUB (Super-Hub), que soporta dos zócalos Itanium 2. La versión inicial utilizaba memoria DDR a través de cuatro buses para un ancho de banda de hasta 12,8 GB/s y hasta 32 GB de capacidad en 16 ranuras. Un canal XIO de 2,4 GB/s conectado a un módulo con hasta seis buses PCI-X de 64 bits a 133 MHz . Los SHUB se pueden interconectar mediante los planos de enlace duales NUMAlink 4 de 6,4 GB/s para crear un sistema de imagen única coherente con caché de 512 zócalos. Un caché para el directorio de coherencia en memoria ahorra ancho de banda de memoria y reduce la latencia. La latencia a la memoria local es de 132 ns y cada cruce de un enrutador NUMAlink4 agrega 50 ns. Los módulos de E/S con cuatro buses PCI-X de 133 MHz pueden conectarse directamente a la red NUMAlink4. [175] [176] [177] [178] El chipset SHUB 2.0 de segunda generación de SGI admitía hasta 48 GB de memoria DDR2 , FSB de 667 MT/s y podía conectarse a módulos de E/S que proporcionaban PCI Express . [179] [180] Solo admite cuatro subprocesos locales, por lo que al tener dos CPU de doble núcleo por chipset, se debe deshabilitar Hyper-Threading . [181]

Soporte de software

Unix

BSD

Linux

El Proyecto Trillian fue un esfuerzo de un consorcio industrial para portar el núcleo Linux al procesador Itanium. El proyecto comenzó en mayo de 1999 con el objetivo de lanzar la distribución a tiempo para el lanzamiento inicial de Itanium, entonces programado para principios de 2000. [184] A fines de 1999, el proyecto incluía a Caldera Systems , CERN , Cygnus Solutions , Hewlett-Packard , IBM , Intel , Red Hat , SGI , SuSE , TurboLinux y VA Linux Systems . [185] El proyecto lanzó el código resultante en febrero de 2000. [184] Luego, el código se convirtió en parte del núcleo principal de Linux más de un año antes del lanzamiento del primer procesador Itanium. El proyecto Trillian pudo hacer esto por dos razones:

Tras la finalización exitosa del Proyecto Trillian, el núcleo Linux resultante fue utilizado por todos los fabricantes de sistemas Itanium ( HP , IBM , Dell , SGI , Fujitsu , Unisys , Hitachi y Groupe Bull ). Con la notable excepción de HP, Linux es el sistema operativo principal o el único sistema operativo que el fabricante admite para Itanium. El soporte continuo de software libre y de código abierto para Linux en Itanium se fusionó posteriormente en Gelato .

Soporte de distribución

En 2005, Fedora Linux comenzó a agregar soporte para Itanium [187] y Novell agregó soporte para SUSE Linux. [188] En 2007, CentOS agregó soporte para Itanium en una nueva versión. [189]

Deprecación

En 2009, Red Hat abandonó el soporte de Itanium en Enterprise Linux 6. [193] Ubuntu 10.10 abandonó el soporte para Itanium. [194] En 2021, Linus Torvalds marcó el código de Itanium como huérfano. Torvalds dijo:

"HPE ya no acepta pedidos de nuevo hardware Itanium, e Intel dejó de aceptar pedidos hace un año. Si bien Intel seguirá enviando chips oficialmente hasta el 29 de julio de 2021, es poco probable que existan pedidos de ese tipo. Está muerto, Jim ". [195] [196]

El soporte para Itanium fue eliminado en Linux 6.7. [197] [198]

Microsoft Windows

OpenVMS

En 2001, Compaq anunció que OpenVMS se trasladaría a la arquitectura Itanium. [199] Esto condujo a la creación de las versiones V8.x de OpenVMS, que admiten tanto servidores HPE Integrity basados ​​en Itanium como hardware DEC Alpha . [200] Desde que comenzó el esfuerzo de traslado de Itanium, la propiedad de OpenVMS se transfirió de Compaq a HP en 2001, y luego a VMS Software Inc. (VSI) en 2014. [201] Las versiones notables incluyen:

El soporte para Itanium se ha eliminado en las versiones V9.x de OpenVMS, que se ejecutan solo en x86-64. [203]

Sistema operativo NonStop

NonStop OS fue portado desde hardware basado en MIPS a Itanium en 2005. [204] NonStop OS fue portado posteriormente a x86-64 en 2015. Las ventas de hardware NonStop basado en Itanium finalizaron en 2020, y el soporte finalizará en 2025. [205] [206]

Compilador

GNU Compiler Collection dejó de dar soporte a IA-64 en GCC 10, después de que Intel anunciara la eliminación gradual planificada de esta ISA. [207] LLVM (Clang) abandonó el soporte para Itanium en la versión 2.6. [208]

Virtualización y emulación

HP vende una tecnología de virtualización para Itanium llamada Integrity Virtual Machines .

La emulación es una técnica que permite a un ordenador ejecutar código binario compilado para un tipo diferente de ordenador. Antes de que IBM adquiriera QuickTransit en 2009, el software binario de aplicación para IRIX / MIPS y Solaris / SPARC podía ejecutarse mediante un tipo de emulación denominado "traducción binaria dinámica" en Linux/Itanium. De forma similar, HP implementó un método para ejecutar PA-RISC/HP-UX en Itanium/HP-UX mediante emulación, para simplificar la migración de sus clientes PA-RISC al conjunto de instrucciones radicalmente diferente de Itanium. Los procesadores Itanium también pueden ejecutar el entorno de mainframe GCOS de Groupe Bull y varios sistemas operativos x86 mediante simuladores de conjuntos de instrucciones .

Competencia

Diagrama de áreas que muestra la representación de diferentes familias de microprocesadores
en la lista TOP500 de supercomputadoras (1993-2019)

Itanium estaba destinado a los mercados de servidores empresariales y computación de alto rendimiento (HPC). Otras líneas de procesadores enfocadas en la empresa y la HPC incluyen los procesadores SPARC de Oracle y Fujitsu y los microprocesadores Power de IBM . Si se mide por la cantidad vendida, la competencia más seria de Itanium provino de los procesadores x86-64 , incluida la línea Xeon de Intel y la línea Opteron de AMD . Desde 2009, la mayoría de los servidores se entregaban con procesadores x86-64. [10]

En 2005, los sistemas Itanium representaron aproximadamente el 14% de los ingresos de los sistemas HPC, pero el porcentaje disminuyó a medida que la industria pasó a clústeres x86-64 para esta aplicación. [209]

Un informe de Gartner de octubre de 2008 sobre el procesador Tukwila afirmó que "...la hoja de ruta futura para Itanium parece tan sólida como la de cualquier otro procesador RISC similar como Power o SPARC". [210]

Supercomputadoras y computación de alto rendimiento

Un ordenador basado en Itanium apareció por primera vez en la lista de las supercomputadoras TOP500 en noviembre de 2001. [75] La mejor posición jamás lograda por un sistema basado en Itanium 2 en la lista fue el N.º 2, logrado en junio de 2004, cuando Thunder ( Lawrence Livermore National Laboratory ) entró en la lista con un Rmax de 19,94 Teraflops. En noviembre de 2004, Columbia entró en la lista en el N.º 2 con 51,8 Teraflops, y hubo al menos un ordenador basado en Itanium entre los 10 primeros desde entonces hasta junio de 2007. El número máximo de máquinas basadas en Itanium en la lista se produjo en la lista de noviembre de 2004, con 84 sistemas (16,8%); para junio de 2012, este número había bajado a un sistema (0,2%), [211] y ningún sistema Itanium permaneció en la lista en noviembre de 2012.

Procesadores

Procesadores liberados

Itanium 2 mx2 'Hondo' (arriba)
Itanium 2 mx2 'Hondo' (abajo)

Los procesadores Itanium muestran una progresión en capacidad. Merced fue una prueba de concepto. McKinley mejoró drásticamente la jerarquía de memoria y permitió que Itanium se volviera razonablemente competitivo. Madison, con el cambio a un proceso de 130 nm, permitió suficiente espacio de caché para superar los principales cuellos de botella de rendimiento. Montecito, con un proceso de 90 nm, permitió una implementación de doble núcleo y una importante mejora en el rendimiento por vatio. Montvale agregó tres nuevas características: sincronización a nivel de núcleo, conmutación basada en la demanda y frecuencia de bus frontal de hasta 667 MHz.

Recepción en el mercado

Mercado de servidores de alta gama

Placa base HP zx6000 con dos procesadores Itanium 2
Itanium 2 en 2003

Cuando se lanzó por primera vez en 2001, el rendimiento de Itanium fue decepcionante en comparación con los procesadores RISC y CISC mejor establecidos . [56] [57] La ​​emulación para ejecutar aplicaciones y sistemas operativos x86 existentes fue particularmente pobre, con un punto de referencia en 2001 informando que era equivalente en el mejor de los casos a un Pentium de 100  MHz en este modo (los Pentium de 1,1  GHz estaban en el mercado en ese momento). [216] Itanium no logró hacer avances significativos contra IA-32 o RISC, y sufrió aún más después de la llegada de los sistemas x86-64 que ofrecían una mayor compatibilidad con aplicaciones x86 más antiguas.

En un artículo de 2009 sobre la historia del procesador —"Cómo el Itanium mató a la industria informática"— el periodista John C. Dvorak informó que "Éste sigue siendo uno de los grandes fiascos de los últimos 50 años". [217] La ​​columnista tecnológica Ashlee Vance comentó que los retrasos y el bajo rendimiento "convirtieron al producto en una broma en la industria de los chips". [146] En una entrevista, Donald Knuth dijo que "Se suponía que el enfoque de Itanium... sería fantástico, hasta que resultó que los compiladores deseados eran básicamente imposibles de escribir". [218]

Tanto Red Hat como Microsoft anunciaron sus planes de abandonar el soporte de Itanium en sus sistemas operativos debido a la falta de interés del mercado; [219] [220] sin embargo, otras distribuciones Linux como Gentoo y Debian siguen estando disponibles para Itanium. El 22 de marzo de 2011, Oracle Corporation anunció que ya no desarrollaría nuevos productos para HP-UX en Itanium, aunque seguiría proporcionando soporte para los productos existentes. [221] Tras este anuncio, HP demandó a Oracle por incumplimiento de contrato, argumentando que Oracle había violado las condiciones impuestas durante el acuerdo sobre la contratación por parte de Oracle del exdirector ejecutivo de HP, Mark Hurd , como su codirector ejecutivo, exigiendo al proveedor que admitiera Itanium en su software "hasta que HP suspenda las ventas de sus servidores basados ​​en Itanium", [222] y que el incumplimiento había perjudicado su negocio. En 2012, un tribunal falló a favor de HP y ordenó a Oracle que reanudara su soporte para Itanium. En junio de 2016, Hewlett Packard Enterprise (el sucesor corporativo del negocio de servidores de HP) recibió 3.000 millones de dólares en daños y perjuicios como consecuencia de la demanda. [223] [224] Oracle apeló sin éxito la decisión ante el Tribunal de Apelaciones de California en 2021. [225]

Un ex funcionario de Intel informó que el negocio de Itanium se había vuelto rentable para Intel a fines de 2009. [226] Para 2009, el chip se había implementado casi en su totalidad en servidores fabricados por HP, que tenía más del 95% de la participación de mercado de servidores Itanium, [146] convirtiendo a HP-UX en el principal sistema operativo para Itanium . El 22 de marzo de 2011, Intel reafirmó su compromiso con Itanium con múltiples generaciones de chips en desarrollo y según lo programado. [227]

Otros mercados

HP zx6000, una estación de trabajo Unix basada en Itanium 2

Aunque Itanium logró un éxito limitado en el nicho de mercado de la informática de alta gama, Intel originalmente esperaba que encontrara una aceptación más amplia como reemplazo de la arquitectura x86 original . [228]

AMD eligió una dirección diferente, diseñando el menos radical x86-64 , una extensión de 64 bits a la arquitectura x86 existente, que Microsoft luego apoyó, obligando a Intel a introducir las mismas extensiones en sus propios procesadores basados ​​en x86. [229] Estos diseños pueden ejecutar aplicaciones de 32 bits existentes a la velocidad nativa del hardware, al tiempo que ofrecen soporte para direccionamiento de memoria de 64 bits y otras mejoras para nuevas aplicaciones. [146] Esta arquitectura ahora se ha convertido en la arquitectura de 64 bits predominante en el mercado de escritorio y portátil. Aunque algunas estaciones de trabajo basadas en Itanium fueron introducidas inicialmente por empresas como SGI , ya no están disponibles.

Cronología

1989

1994

1995

1996

1997

1998

1999

2000

2001

2002

2003

2004

2005

2006

2007

2009

2010

2011

2012

2013

2014

2017

2019

2020

2021

2023

Véase también

Notas

  1. ^ Itanium se lanzó el 29 de mayo, [2] [3] [4] [5] pero las computadoras que lo contenían se enviaron a los clientes en junio.
  2. ^ Hondo es un producto HP, no un producto Intel
  3. ^ El tamaño del circuito de verificación de dependencia necesario aumenta cuadráticamente con el ancho del problema. [12] [13]
  4. ^ A modo de comparación, el Pentium III Xeon MP de 180 nm tenía un caché L2 en chip de 2 MB.
  5. ^ El procesador admitía TAP ( JTAG ) y SMBus para depuración y configuración del sistema.

Referencias

  1. ^ abc «Procesadores Intel Itanium seleccionados y búfer de memoria escalable Intel, PCN 116733-00, discontinuación del producto, fin de vida útil» (PDF) . Intel. 30 de enero de 2019. Archivado (PDF) del original el 22 de mayo de 2020 . Consultado el 20 de mayo de 2020 .
    (El 30 de enero de 2020 fue la última fecha para realizar un pedido, todos los envíos se realizarán a más tardar el 29 de julio de 2021).
  2. ^ "Intel lanza oficialmente el chip Itanium de 64 bits". Computerworld . 29 de mayo de 2001.
  3. ^ Fordahl, Matthew (30 de mayo de 2001). "Intel y HP lanzan un nuevo procesador". ABC News .
  4. ^ Bekker, Scott (29 de mayo de 2001). "Intel lanza Itanium: los fabricantes de equipos originales presentan sus sistemas". RCP Mag .
  5. ^ Kerridge, Suzanna (18 de mayo de 2001). "Intel habla abiertamente sobre la futura familia Itanium". ZDNet .
  6. ^ Morgan, Timothy (27 de mayo de 2008). "The Server Biz Enjoys the X64 Upgrade Cycle in Q1" (El negocio de servidores disfruta del ciclo de actualización a X64 en el primer trimestre). IT Jungle . Archivado desde el original el 3 de marzo de 2016. Consultado el 29 de octubre de 2008 .
  7. ^ abc Davis, Lisa M. (11 de mayo de 2017). "La evolución de la informática de misión crítica". Intel . Archivado desde el original el 8 de septiembre de 2018 . Consultado el 11 de mayo de 2017 . ...la serie 9700 será el último procesador Intel Itanium.
  8. ^ abcd Shah, Agam (11 de mayo de 2017). «El Itanium de Intel, que alguna vez estuvo destinado a reemplazar a los procesadores x86 en las PC, llega al final de su vida útil». PC World . Archivado desde el original el 15 de marzo de 2019. Consultado el 20 de mayo de 2020 .
  9. ^ Sharwood, Simon (30 de julio de 2021). «The Register acaba de encontrar 300 CPU Itanium en eBay». The Register . Archivado desde el original el 12 de septiembre de 2021. Consultado el 12 de septiembre de 2021 .
  10. ^ abc Morgan, Timothy Prickett (24 de febrero de 2010). "El informe de Gartner otorga altas calificaciones a los procesadores x64 y blades". The Register . Consultado el 25 de noviembre de 2022 .
  11. ^ Lee, Matthew (agosto de 2021). "El Itanium de Intel finalmente está muerto: el Itanic hundido por el gigante x86". Techspot . Consultado el 26 de marzo de 2023 .
  12. ^ ab DeMone, Paul (27 de octubre de 1999). "La lucha de HP por la simplicidad termina en Intel". Real World Tech .
  13. ^ ab Smotherman, Mark. "Comprensión de las arquitecturas e implementaciones de EPIC" (PDF) . Universidad de Clemson . Consultado el 5 de junio de 2022 .
  14. ^ abc "La invención de Itanium: cómo los laboratorios de HP ayudaron a crear la arquitectura de chips de próxima generación". HP Labs . Junio ​​de 2001. Consultado el 23 de marzo de 2007 .
  15. ^ abcd Markoff, John (5 de abril de 1998). "Dentro de Intel, el futuro está en el chip Merced". The New York Times , republicado por The Jerusalem Post .
  16. ^ DeMone, Paul (25 de enero de 2000). "La lección de historia de Intel". Real World Tech .
  17. ^ ab DeMone, Paul (14 de marzo de 2001). "Cuenta regresiva para IA-64". Real World Tech .
  18. ^ Smotherman, Mark. "¿Quiénes son los arquitectos informáticos?". Universidad de Clemson .Ver las secciones “Arquitectura de la independencia” y “Wintel”.
  19. ^ Alpert, Donald (julio de 2003). "Procesador Intel Itanium (Merced)".Alpert fue el arquitecto jefe del P7 original y el principal gerente de ingeniería de Merced [18]
  20. ^ DeMone, Paul (3 de marzo de 2000). "¿Qué pasa con Willamette? (Parte 1)". Real World Tech .
  21. ^ Kanellos, Michael (21 de febrero de 2003). "Intel toma el camino lento hacia los chips para PC de 64 bits". CNET .
  22. ^ abc Hamilton, David (28 de mayo de 2001). "Intel apuesta por Itanium". ZDNet .
  23. ^ Britt, Russ (1 de enero de 2000). "El nacimiento de un nuevo procesador". EDN .
  24. ^ Smotherman, Mark. "Antecedentes históricos de las arquitecturas de conjuntos de instrucciones EPIC". Universidad de Clemson . Consultado el 3 de junio de 2022 .
  25. ^ [17] [23] (El comité ACM con 5 personas de cada lado [24] probablemente era una entidad diferente.)
  26. ^ Kathail, Vinod; Schlansker, Michael S.; Rau, B. Ramakrishna. "Especificación de la arquitectura HPL-PD: versión 1.1" (PDF) . Laboratorios HP .
  27. ^ Hecht, Jeff (18 de junio de 1994). «Tecnología: Intel opta por chips más simples y rápidos». New Scientist .
  28. ^ Bozman, Jean S. (13 de junio de 1994). "La alianza de chips sacude el terreno". Computerworld .David House había aprobado el proyecto, pero luego lo criticó duramente.
  29. ^ Babcock, Charles (25 de julio de 1994). "Matrimonio de silicio: la alianza HP/Intel". Computerworld .
  30. ^ DeMone, Paul (14 de marzo de 2001). "Cuenta regresiva para IA-64". Real World Tech .Tiene un error tipográfico (P 5 ) en el gráfico.
  31. ^ Crothers, Brooke (29 de enero de 1996). "Intel aspira a acercar la multimedia a las masas". InfoWorld .
  32. ^ "Merced "saldrá a la venta a finales de 1999", dice Hewlett-Packard". Tech Monitor . Computer Business Review. 18 de julio de 1997. Archivado desde el original el 13 de febrero de 2024.
  33. ^ Kanellos, Michael (6 de octubre de 1997). "Intel llega tarde a la informática de 64 bits". CNET . Archivado desde el original el 27 de junio de 2022.
  34. ^ ab Kanellos, Michael (14 de octubre de 1997). "Intel y HP presentan la tecnología EPIC". CNET . Archivado desde el original el 18 de agosto de 2022.
  35. ^ DeMone, Paul (27 de octubre de 1999). "La lucha de HP por la simplicidad termina en Intel". Real World Tech . p. 3. Archivado desde el original el 31 de octubre de 2023.
  36. ^ Gwennap, Linley (27 de octubre de 1997). "Intel y HP hacen una divulgación EPIC" (PDF) . Microprocessor Report . Vol. 11, núm. 14. Archivado (PDF) desde el original el 31 de octubre de 2023.
  37. ^ Corcoran, Elizabeth (15 de octubre de 1997). «Los fabricantes de chips revelan trabajos en curso» . The Washington Post . Archivado desde el original el 13 de febrero de 2024.
  38. ^ ab Robertson, Chiyo (17 de marzo de 1999). "Merced: ¿Valió la pena la espera? ¿Qué pasa con McKinley?". ZDNet .
  39. ^ Matsumoto, Craig (8 de octubre de 1998). "Intel describe el camino hacia el procesador McKinley". EE Times .
  40. ^ ab "Dentro del procesador Intel Itanium 2: un documento técnico de Hewlett Packard". 17 de julio de 2002. CiteSeerX 10.1.1.96.8209 . 
  41. ^ Kanellos, Michael (6 de agosto de 1998). "¿Está Merced condenada?". CNET .
  42. ^ "EL MERCED DE INTEL PODRÍA SER ECLIPSADO POR EL SIGUIENTE PROYECTO DE MCKINLEY". Tech Monitor . 6 de agosto de 1998.
  43. ^ Shankland, Stephen; Kanellos, Michael (13 de octubre de 1998). "HP tiene un plan de chips de dos frentes". CNET . Archivado desde el original el 3 de diciembre de 2000.
  44. ^ Gary, Gregory (3 de mayo de 1999). "Actualización de IA 64: Parte 1 de 2". EDN .
  45. ^ ab Shankland, Stephen (8 de julio de 1999). "El chip Merced de Intel podría seguir decayendo". CNET . Archivado desde el original el 5 de junio de 2000.
  46. ^ Hamblen, Matt (12 de julio de 1999). "Intel: No hay marcha forzada a Merced". Computerworld .
  47. ^ Shankland, Stephen (19 de agosto de 1999). "La ruta de actualización de HP evita el chip Merced". CNET . Archivado desde el original el 19 de agosto de 2000.
  48. ^ Shankland, Stephen (11 de julio de 2000). "HP avanza lentamente hacia el mundo de los procesadores Intel de 64 bits". CNET . Archivado desde el original el 10 de febrero de 2001.
  49. ^ Shankland, Stephen; Kanellos, Michael (18 de julio de 2000). "Intel retrasa el lanzamiento del chip Itanium". CNET . Archivado desde el original el 13 de abril de 2001.
  50. ^ Shankland, Stephen (1 de marzo de 2001). "Intel retrasa la llegada del Itanium". CNET . Archivado desde el original el 13 de abril de 2001.
  51. ^ abcdefghi «Mining Itanium». CNet News . 7 de diciembre de 2005. Archivado desde el original el 11 de junio de 2018. Consultado el 19 de marzo de 2007 .
  52. ^ ab Shankland, Stephen (14 de febrero de 2006). "Una empresa de analistas ofrece una visión optimista de Itanium". CNET News . Archivado desde el original el 24 de junio de 2016. Consultado el 20 de marzo de 2007 .
  53. ^ Halfhill, Tom R. (diciembre de 1997). "Más allá del Pentium II". Byte . Archivado desde el original el 2 de marzo de 2000.
  54. ^ Connor, Deni (1 de marzo de 1999). "El Merced de Intel coexistirá con chips de 32 bits". Network World .
  55. ^ Knorr, Eric (10 de septiembre de 2001). "Actualización de su servidor: una mirada al Itanium". ZDNet .
  56. ^ ab De Gelas, Johan (9 de noviembre de 2005). "Itanium: ¿hay luz al final del túnel?". AnandTech . Archivado desde el original el 3 de mayo de 2012. Consultado el 23 de marzo de 2007 .
  57. ^ ab Takahashi, Dean (8 de mayo de 2009). "Entrevista de salida: el presidente saliente de Intel, Craig Barrett, sobre los asuntos pendientes de la industria". VentureBeat . Archivado desde el original el 21 de abril de 2018. Consultado el 17 de mayo de 2009 .
  58. ^ Nash, Kim S. (6 de julio de 1998). "Detrás de la mística de Merced". Computerworld .
  59. ^ Yu, Elleen (25 de noviembre de 1998). "IA-64 superará a RISC". ARN .
  60. ^ abc "Itanium: una historia con moraleja". Noticias tecnológicas en ZDNet . 7 de diciembre de 2005. Archivado desde el original el 2 de agosto de 2020. Consultado el 1 de enero de 2019 .
  61. ^ ab Vijayan, Jaikumar (1 de septiembre de 1999). "Solaris para IA-64 llegará este otoño". Computerworld . Archivado desde el original el 15 de enero de 2000.
  62. ^ ab Wolfe, Alexander (2 de septiembre de 1999). "Se están realizando esfuerzos para mejorar la lógica del núcleo de Merced". EE Times . Archivado desde el original el 17 de diciembre de 2019. Consultado el 17 de diciembre de 2019 .
  63. ^ ab "Sun presenta Solaris Developer Kit para Intel para acelerar el desarrollo de aplicaciones en Solaris; las galardonadas herramientas de Sun ayudan a los ISV a desarrollar fácilmente para Solaris en Intel hoy". Business Wire . 10 de marzo de 1998. Archivado desde el original el 5 de agosto de 2016 . Consultado el 6 de junio de 2016 . ... los desarrolladores pueden desarrollar rápidamente aplicaciones hoy que serán compatibles con Solaris en Merced y se pueden ajustar fácilmente para él.
  64. ^ Shankland, Stephen (17 de septiembre de 1999). "El chip de próxima generación supera un hito clave". CNET News .
  65. ^ Kanellos, Michael (4 de octubre de 1999). «Intel nombra al chip Merced Itanium». CNET . Consultado el 30 de abril de 2007 .
  66. ^ Finstad, Kraig (4 de octubre de 1999). "Re:Itanium". Grupo de noticias : comp.sys.mac.advocacy . Consultado el 20 de mayo de 2020 .
  67. ^ ab Sherriff, Pete (28 de octubre de 1999). «AMD vs Intel: nuestros lectores escriben». The Register . Consultado el 25 de noviembre de 2022 .
  68. ^ Berlind, David (30 de noviembre de 2001). «Interpretación del léxico de McNealy». Actualización técnica de ZDNet . Archivado desde el original el 4 de septiembre de 2019. Consultado el 19 de marzo de 2007 .
  69. ^ Demerjian, Charlie (18 de julio de 2006). «El juego de la concha itánica continúa». The Inquirer . Archivado desde el original el 5 de marzo de 2016. Consultado el 27 de febrero de 2016 .{{cite web}}: CS1 maint: unfit URL (link)
  70. ^ Morgenson, Gretchen (19 de octubre de 2003). «Analistas aduladores traicionan a los inversores». The New York Times . Archivado desde el original el 11 de octubre de 2012. Consultado el 1 de enero de 2019 .
  71. ^ "Notificación de cambio de producto" (PDF) . Intel. Archivado desde el original (PDF) el 19 de julio de 2004.
  72. ^ Niccolai, James (IDG News Service) (29 de mayo de 2001). «Intel lanza oficialmente el chip Itanium de 64 bits». Computerworld . Consultado el 30 de marzo de 2022 .
  73. ^ "Los fabricantes de servidores promocionan los modelos Itanium". ZDNet . Consultado el 30 de marzo de 2022 .
  74. ^ Linley Gwennap (4 de junio de 2001). «Amanece la era Itanium». EE Times . Archivado desde el original el 17 de diciembre de 2019. Consultado el 17 de diciembre de 2019 .
  75. ^ abc "Titan Cluster Itanium 800 MHz". Sitio web TOP500 . Archivado desde el original el 25 de septiembre de 2006. Consultado el 16 de mayo de 2007 .
  76. ^ Michael Kanellos (11 de diciembre de 2001). "Las ventas de Itanium comienzan con lentitud". CNET News . Consultado el 4 de julio de 2023 .
  77. ^ "Notificación de cambio de producto" (PDF) . Intel. Archivado desde el original (PDF) el 19 de julio de 2004.
  78. ^ "Notificación de cambio de producto" (PDF) . Intel. Archivado desde el original (PDF) el 13 de marzo de 2006.
  79. ^ "Notificación de cambio de producto" (PDF) . Intel. Advertencia: descarga forzada . Consultado el 28 de abril de 2022 .
  80. ^ McKinley: 16 de abril de 2004 [77]
    Madison 6M: 28 de julio de 2006 [78]
    Madison 9M: 16 de noviembre de 2007 [79]
  81. ^ Hammond, Gary; Naffziger, Sam. "Descripción general del procesador Itanium™ de próxima generación" (PDF) . Archivado desde el original (PDF) el 6 de julio de 2003.
  82. ^ Naffzinger, Samuel D.; Colon-Bonet, Glenn T.; Fischer, Timothy; Riedlinger, Reid; Sullivan, Thomas J.; Grutkowski, Tom (noviembre de 2002). "La implementación del microprocesador Itanium 2" (PDF) . IEEE Journal of Solid-State Circuits . 37 (11): 1448–1460. Bibcode :2002IJSSC..37.1448N. doi :10.1109/JSSC.2002.803943. Archivado desde el original (PDF) el 22 de marzo de 2003.
  83. ^ Soltis, Don; Gibson, Mark. "Descripción general de la microarquitectura del procesador Itanium® 2" (PDF) . Hot Chips . Archivado desde el original (PDF) el 31 de mayo de 2005.
  84. ^ Naffziger, Samuel; Hammond, Gary. "La implementación del microprocesador Itanium 64b de próxima generación" (PDF) . Archivado desde el original (PDF) el 29 de octubre de 2004.
  85. ^ Krazit, Tom (12 de mayo de 2003). «Intel detalla el error de Itanium 2». Computerworld . Consultado el 30 de marzo de 2022 .
  86. ^ "Itanium Solutions Alliance". ISA web site. Archived from the original on September 8, 2008. Retrieved May 16, 2007.{{cite web}}: CS1 maint: unfit URL (link)
  87. ^ Scott, Bilepo (January 26, 2006). "Computing Leaders Announce Strategy for New Era of Mission Critical Computing". Itanium Solutions Alliance Press Release. Archived from the original on January 11, 2012. Retrieved October 16, 2008.
  88. ^ "Intel server processors to be discontinued in 2012". CPU-World. Retrieved 28 April 2022.
  89. ^ Kanellos, Michael. "Intel accelerates Itanium schedule". CNET. Retrieved 3 April 2022.
  90. ^ a b Shankland, Stephen; Kanellos, Michael (9 July 2003). "Intel's summer of servers". The Globe and Mail. Retrieved 27 April 2022.
  91. ^ a b Kanellos, Michael. "Intel fills in more details on Itanium family". CNET. Retrieved 3 April 2022.
  92. ^ Wilson, Derek. "Intel Developer Forum Fall 2004: Day 1 Keynote". AnandTech. Retrieved 28 April 2022.
  93. ^ Shankland, Stephen. "Intel pushes back Itanium chips, revamps Xeon". CNET. Retrieved 3 April 2022.
  94. ^ a b Niccolai, James (May 20, 2008). "'Tukwila' Itanium servers due early next year, Intel says". Computerworld. Retrieved September 26, 2022.
  95. ^ Gonsalves, Antone (November 1, 2007). "Intel Unveils Seven Itanium Processors". InformationWeek. Archived from the original on March 10, 2012. Retrieved November 6, 2007.
  96. ^ a b "Intel Shares Findings, Platform Plans To Better Guide Businesses Through 'Transformation'". Intel.
  97. ^ "Intel Strengthens Investment In Intel® Itanium® Architecture With Hiring Of HP Design Team".
  98. ^ Shilov, Anton. "Intel to Discontinue Itanium 9700 'Kittson' Processor, the Last of the Itaniums". AnandTech. Retrieved 28 April 2022.
  99. ^ Kanellos, Michael. "Intel changes code name of future Itanium". CNET. Retrieved 4 July 2023.
  100. ^ McMillan, Robert (18 December 2003). "Trademark flap prompts Intel to rename Tanglewood". InfoWorld. Retrieved 31 March 2022.
  101. ^ Vance, Ashlee. "Tanglewood to run 10x faster than Madison". The Register. Retrieved 27 April 2022.
  102. ^ McMillan, Robert (17 September 2003). "FALL IDF: Intel readies 8-core, 16-core Itanium 2". InfoWorld. Retrieved 31 March 2022.
  103. ^ Shankland, Stephen. "'Tanglewood' to top Intel chip show". CNET. Retrieved 31 March 2022.
  104. ^ McMillan, Robert. "Itanium 2 Montecito to be multithreaded". Computer Weekly. Retrieved 31 March 2022.
  105. ^ "Intel Outlines Platform Innovations For More Manageable, Balanced And Secure Enterprise Computing". Intel.
  106. ^ Shankland, Stephen. "Intel to spotlight new Itanium: 'Poulson'". CNET. Retrieved 31 March 2022.
  107. ^ Merritt, Rick (March 2, 2005). "Intel preps HyperTransport competitor for Xeon, Itanium CPUs". EE Times. Archived from the original on December 17, 2019. Retrieved December 17, 2019.
  108. ^ Vance, Ashlee. "Intel's Xeon chip kill is result of chaos in India". The Register. Retrieved 28 April 2022.
  109. ^ a b Shankland, Stephen (October 24, 2005). "Intel pushes back Itanium chips, revamps Xeon". ZDNet News. Archived from the original on August 2, 2020. Retrieved January 1, 2019.
  110. ^ Demerjian, Charlie (May 21, 2009). "Tukwila delayed until 2010". The Inquirer. Archived from the original on May 23, 2009. Retrieved May 21, 2009.{{cite web}}: CS1 maint: unfit URL (link)
  111. ^ a b Burt, Jeff (February 8, 2010). "New Intel Itanium Offers Greater Performance, Memory Capacity". eWeek.
  112. ^ a b Tan, Aaron (June 15, 2007). "Intel updates Itanium line with 'Kittson'". ZDNet. Retrieved June 15, 2007.
  113. ^ Stokes, Jon (February 5, 2009). "Intel delays quad Itanium to boost platform memory capacity". ars technica. Archived from the original on January 22, 2012. Retrieved February 5, 2009.
  114. ^ Ng, Jansen (February 10, 2009). "Intel Aims for Efficiency With New Server Roadmap". DailyTech. Archived from the original on February 13, 2009. Retrieved February 10, 2009.
  115. ^ "HP Paid Intel $690 Million to Keep Itanium Alive - Court Findings". Archived from the original on March 4, 2016.
  116. ^ Robert McMillan (February 1, 2012). "HP Paid Intel $690 Million To Keep Itanium On Life Support". Wired. Archived from the original on March 6, 2014. Retrieved March 7, 2017.
  117. ^ "Intel Platforms, Technologies To Drive Enterprise Advances". Intel. Retrieved 31 March 2022.
  118. ^ a b Boslet, Mark (15 June 2007). "Intel to employ advanced technology on server chips". The Mercury News. Retrieved 26 February 2022.
  119. ^ a b Riedlinger, Reid J.; Bhatia, Rohit; Biro, Larry; Bowhill, Bill; Fetzer, Eric; Gronowski, Paul; Grutkowski, Tom (February 24, 2011). "A 32nm 3.1 billion transistor 12-wide-issue Itanium® processor for mission-critical servers". 2011 IEEE International Solid-State Circuits Conference. 2011 IEEE International Solid-State Circuits Conference. pp. 84–86. doi:10.1109/ISSCC.2011.5746230. ISBN 978-1-61284-303-2.
  120. ^ "ISSCC 2011" (PDF). Archived from the original (PDF) on December 1, 2017. Retrieved November 17, 2017.
  121. ^ Kanter, David (November 17, 2010). "New Itanium Microarchitecture at ISSCC 2011". Real World Tech. Retrieved July 4, 2023.
  122. ^ "Itanium Poulson Update — Greater Parallelism, New Instruction Replay & More: Catch the details from Hotchips!". August 19, 2011. Archived from the original on June 27, 2018. Retrieved November 17, 2017.
  123. ^ "Intel Itanium Hotchips 2011 Overview". 18 August 2011. Archived from the original on 14 February 2012. Retrieved January 23, 2012.
  124. ^ a b Kanter, David (May 18, 2011). "Poulson: The Future of Itanium Servers". Real World Tech. Archived from the original on November 2, 2012. Retrieved November 9, 2012.
  125. ^ "Hot Chips Poulson Disclosure Factsheet" (PDF) . Nota de prensa de Intel . 19 de agosto de 2011. Archivado desde el original (PDF) el 24 de marzo de 2012 . Consultado el 19 de agosto de 2011 .
  126. ^ Merrit, Rick (23 de noviembre de 2010). «Investigadores tallan una CPU en una lámina de plástico». EE Times . Archivado desde el original el 17 de diciembre de 2019. Consultado el 17 de diciembre de 2019 .
  127. ^ O'Brien, Terrence (22 de agosto de 2011). "Intel habla de la próxima generación de Itanium: 32nm, 8-core Poulson". Engadget . Archivado desde el original el 21 de abril de 2018. Consultado el 30 de abril de 2012 .
  128. ^ ab "Se detectaron CPUs de la serie Intel Itanium 9500 no lanzadas al mercado". Archivado desde el original el 22 de noviembre de 2017. Consultado el 2 de agosto de 2012 .
  129. ^ "Se confirmó que las CPU de la serie 9500 detectadas son Itaniums "Poulson"". Archivado desde el original el 6 de octubre de 2017. Consultado el 2 de agosto de 2012 .
  130. ^ "Intel publica el manual de referencia del Itanium 9500". Archivado desde el original el 8 de octubre de 2017. Consultado el 2 de agosto de 2012 .
  131. ^ "Productos anteriormente Poulson". Intel® ARK (Especificaciones del producto) . Archivado desde el original el 18 de mayo de 2017. Consultado el 31 de mayo de 2017 .
  132. ^ Wheeler, Bob. "Tocking Itanium". The Linley Group . Consultado el 26 de febrero de 2022 .
  133. ^ Skaugen, Kirk. "Foro de desarrolladores Intel IDF2011" (PDF) . diapositiva 21. Intel . Consultado el 26 de febrero de 2022 .
  134. ^ Nist, Pauline. «Más que un chip Itanium más». Intel. Archivado desde el original el 8 de agosto de 2020. Consultado el 26 de febrero de 2022 .
  135. ^ "Actualización de los procesadores Intel® Itanium®". Archivado desde el original el 9 de noviembre de 2016.
  136. ^ ab Shilov, Anton (17 de abril de 2015). "Intel sigue comprometida con la fabricación de nuevos procesadores Itanium". kitguru.net . Consultado el 4 de julio de 2023 . KitGuru dice: Aunque es muy probable que se lancen los chips "Kittson", no parece que Intel y HP quieran invertir dinero en I+D para mejorar el rendimiento de los chips IA-64. Como resultado, parece que lo mejor que ofrecerá "Kittson" será una mejora del rendimiento del 20 por ciento con respecto a las ofertas de la generación actual.
  137. ^ Shah, Agam (19 de febrero de 2014). «El nuevo chip para servidores Xeon de Intel acerca a Itanium a las puertas de la muerte». pcworld.com . PC World. Archivado desde el original el 26 de enero de 2016 . Consultado el 13 de enero de 2016 .
  138. ^ Shilov, Anton. "HP: el negocio de servidores de misión crítica mejora a medida que Itanium se desvanece". Kitguru . Consultado el 30 de marzo de 2022 .
  139. ^ Shah, Agam (2 de diciembre de 2014). "HP ve a HP-UX como una opción que durará 10 años". Computerworld . Consultado el 30 de marzo de 2022 .
  140. ^ "Procesador Intel® Itanium®". Intel . Consultado el 15 de mayo de 2017 .
  141. ^ "Actualización de especificaciones de los procesadores Intel® Itanium® de las series 9300, 9500 y 9700" (PDF) . Intel. Archivado (PDF) del original el 11 de noviembre de 2020.
  142. ^ Cutress, Ian (11 de mayo de 2017). "El Itanium de Intel da un último suspiro: se lanzan las CPU de la serie Itanium 9700". Anandtech. Archivado desde el original el 11 de mayo de 2017. Consultado el 11 de mayo de 2017 .
  143. ^ "Productos anteriormente conocidos como Kittson". Intel® ARK (Especificaciones del producto) . Archivado desde el original el 4 de agosto de 2019. Consultado el 15 de mayo de 2017 .
  144. ^ Patrizio, Andy (12 de octubre de 2007). «Intel avanza con Itanium». InternetNews.com . Archivado desde el original el 22 de abril de 2018. Consultado el 18 de octubre de 2007 .
  145. ^ Rastreador de servidores a nivel mundial de IDC , segundo trimestre de 2008
  146. ^ abcd Vance, Ashlee (9 de febrero de 2009). «Diez años después del primer retraso, el Itanium de Intel sigue retrasado». The New York Times . Archivado desde el original el 10 de julio de 2011. Consultado el 1 de abril de 2010 .
  147. ^ "Intel cambia de rumbo con Itanium, lo que plantea interrogantes sobre el futuro del chip para servidores". Archivado desde el original el 15 de junio de 2013. Consultado el 4 de agosto de 2013 .
  148. ^ Vance, Ashlee (1 de junio de 2006). «HP se hace con el 90% del mercado de Itanic, el 'estándar de la industria'». The Register . Consultado el 25 de noviembre de 2022 .
  149. ^ "Especificación técnica del producto del sistema de servidor Intel SR9000MK4U". Sitio web de Intel . Enero de 2007. Archivado desde el original el 8 de febrero de 2009. Consultado el 14 de abril de 2007 .
  150. ^ de Aleksandar, Kostovic (31 de julio de 2021). "Itanium se despide mientras Intel entrega los últimos envíos de la familia de procesadores ahora olvidada". Tom's Hardware . Consultado el 29 de noviembre de 2022 .
  151. ^ "No vulnerable - Intel Itanium/Secure64 SourceT - Secure 64". Secure 64 . 9 de enero de 2018. Archivado desde el original el 4 de octubre de 2018 . Consultado el 4 de octubre de 2018 .
  152. ^ "Hoja de datos del procesador Intel® Itanium® 2" (PDF) . Intel. pág. 9. Archivado desde el original (PDF) el 21 de junio de 2006.
  153. ^ "Hoja de datos del procesador Intel® Itanium® 2 de doble núcleo serie 9000" (PDF) . Intel. pág. 9. Archivado desde el original (PDF) el 7 de junio de 2011.
  154. ^ Morgan, Timothy Prickett. "¿Recuerdas la convergencia Xeon E7-Itanium? ¡NO LO OLVIDES!". The Register . Consultado el 25 de noviembre de 2022 .
  155. ^ abc Shankland, Stephen (25 de febrero de 2005). "Fuentes: IBM abandona por completo Itanium". CNET News . Consultado el 4 de julio de 2023 .
  156. ^ "Hoja de datos del chipset Intel 460GX" (PDF) . Intel. Archivado desde el original (PDF) el 23 de julio de 2004.
  157. ^ Mueller, Scott; Soper, Mark Edward; Sosinsky, Barrie (2006). Actualización y reparación de servidores. Pearson Education. ISBN 0-13-279698-8. Recuperado el 6 de abril de 2022 .
  158. ^ Shimpi, Anand Lal. "Chipset 820 de Intel: rendimiento con SDRAM". AnandTech . Consultado el 6 de abril de 2022 .
  159. ^ Shimpi, Anand Lal. "Rambus DRAM Part 2: Performance" (Parte 2 de Rambus DRAM: rendimiento). AnandTech . Consultado el 6 de abril de 2022 .
  160. ^ Briggs, Fayé; et al. (7 de agosto de 2002). "Intel 870: un bloque de construcción para servidores escalables y rentables". IEEE Micro . 22 (2 (marzo-abril)): 36–47. CiteSeerX 10.1.1.140.2915 . doi :10.1109/MM.2002.997878. S2CID  3201355. 
  161. ^ "Hoja de datos del controlador de nodo escalable (SNC) Intel® E8870" (PDF) . Intel. Archivado desde el original (PDF) el 1 de julio de 2004.
  162. ^ "Hoja de datos del concentrador de E/S de servidor (SIOH) Intel® E8870IO" (PDF) . Intel. Archivado desde el original (PDF) el 6 de julio de 2003.
  163. ^ "Intel describe innovaciones de plataforma para una informática empresarial más manejable, equilibrada y segura". Intel . Consultado el 7 de abril de 2022 .
  164. ^ "Descripción general de los nuevos servidores HP basados ​​en Itanium® 2 rx2600 y rx5670: cómo HP pone en funcionamiento los procesadores Intel® Itanium 2" (PDF) . Hewlett-Packard. p. 17. Archivado desde el original (PDF) el 19 de marzo de 2003.
  165. ^ "Servidor HP Integrity rx2620" (PDF) . Archivado desde el original (PDF) el 29 de octubre de 2006.
  166. ^ "Servidor HP Integrity rx4640-8" (PDF) . Archivado desde el original (PDF) el 14 de marzo de 2006.
  167. ^ "Resumen del servidor HP Integrity rx5670". Archivado desde el original el 9 de diciembre de 2004.
  168. ^ Turner, Vernon; Rau, Shane. "El chipset sx1000 de HP: innovación por encima de la estandarización" (PDF) . IDC (patrocinado por HP). Archivado desde el original (PDF) el 1 de junio de 2005.
  169. ^ "Conozca el HP Integrity Superdome: un informe técnico de HP" (PDF) . Archivado desde el original (PDF) el 31 de julio de 2004.
  170. ^ "Servidores de gama media basados ​​en Itanium® de HP: los servidores HP Integrity rx7620-16 y rx8620-32" (PDF) . Archivado desde el original (PDF) el 9 de mayo de 2005.
  171. ^ "Guía de servicio del usuario de los servidores HP Integrity Superdome/sx2000 y HP 9000 Superdome/sx2000". Hewlett-Packard . Septiembre de 2009 – vía Internet Archive.
  172. ^ "Descripción general de los servidores HP Integrity rx2660, rx3600 y rx6600" (PDF) . Archivado (PDF) del original el 6 de marzo de 2017.
  173. ^ "Guía de la familia de sistemas HP Integrity" (PDF) . Archivado desde el original (PDF) el 8 de julio de 2022 . Consultado el 24 de mayo de 2022 .
  174. ^ "Guía de instalación y planificación de IBM Eserver xSeries 455" (PDF) . IBM/Lenovo . Consultado el 6 de abril de 2022 .
  175. ^ Woodacre, Michael; Robb, Derek; Roe, Dean; Feind, Karl. "La arquitectura de memoria compartida global SGI® Altix 3000" (PDF) . sgi.com . Archivado desde el original (PDF) el 14 de marzo de 2006.
  176. ^ Vogelsang, Reiner. "Arquitectura de hardware SGI® Altix ™" (PDF) . Consultado el 25 de abril de 2022 .
  177. ^ "Guía del usuario del sistema SGI® Altix™ 350" (PDF) . Archivado desde el original (PDF) el 21 de enero de 2016.
  178. ^ "Servidores y superclústeres SGI® Altix® 3000" (PDF) . Archivado desde el original (PDF) el 14 de marzo de 2006.
  179. ^ "Servidores y supercomputadoras SGI® Altix® 4700" (PDF) . Archivado desde el original (PDF) el 24 de noviembre de 2005.
  180. ^ Vogelsang, Reiner. "Arquitectura de hardware SGI® Altix ™" (PDF) . Consultado el 4 de julio de 2023 .
  181. ^ "Guía del usuario del software del controlador SGI® L1 y L2" (PDF) . Archivado desde el original (PDF) el 2015-12-03.
  182. ^ "Plataformas soportadas por NetBSD". www.netbsd.org . Archivado desde el original el 27 de febrero de 2021 . Consultado el 2 de marzo de 2021 .
  183. ^ "NetBSD/ia64". wiki.netbsd.org . Archivado desde el original el 27 de abril de 2018 . Consultado el 2 de marzo de 2021 .
  184. ^ ab Sabbagh, Dan (3 de febrero de 2000). "Trillian lanza código Linux para Itanium". vnunet.com . Archivado desde el original el 30 de septiembre de 2007. Consultado el 20 de marzo de 2007 .
  185. ^ "Los principales distribuidores de Linux se unen al proyecto Trillian". Red Hat (nota de prensa). 20 de diciembre de 1999. Consultado el 20 de marzo de 2007 .
  186. ^ "Entorno de simulación IATO".
  187. ^ Shankland, Stephen (22 de marzo de 2005). "Fedora para Itanium avanza paso a paso". CNET . Consultado el 4 de julio de 2023 .
  188. ^ Connor, Deni (6 de enero de 2005). «Novell lanza SuSE Linux para servidores HP Itanium». Network World . Archivado desde el original el 29 de octubre de 2021. Consultado el 14 de octubre de 2021 .
  189. ^ "Lanzamiento de CentOS 5 Linux". IT PRO . 14 de abril de 2007. Archivado desde el original el 29 de octubre de 2021 . Consultado el 14 de octubre de 2021 .
  190. ^ "Proyecto:IA-64". Archivado desde el original el 16 de septiembre de 2018. Consultado el 12 de julio de 2015. El proyecto Gentoo/IA-64 trabaja para mantener Gentoo como la distribución IA-64 más actualizada y rápida disponible.
  191. ^ https://projects.gentoo.org/council/meeting-logs/20240721-summary.txt . Consultado el 15 de agosto de 2024 . Los miembros del Consejo acordaron la arquitectura ia64 obsoleta {{cite web}}: Falta o está vacío |title=( ayuda )
  192. ^ "Portaciones Debian" . Consultado el 21 de mayo de 2023 .
  193. ^ de Ricknäs, Mikael (21 de diciembre de 2009). "Red Hat dejará de ofrecer soporte para Itanium en Enterprise Linux 6". Computerworld . Archivado desde el original el 28 de octubre de 2021. Consultado el 14 de octubre de 2021 .
  194. ^ Clark, Jack. "Se discontinuó el soporte de SPARC e Itanium en Ubuntu 10.10". ZDNet . Archivado desde el original el 2021-10-29 . Consultado el 2021-10-14 .
  195. ^ Anderson, Tim. «'Está muerto, Jim': Torvalds señala a los procesadores Intel Itanium como huérfanos en el núcleo de Linux». The Register . Archivado desde el original el 29 de octubre de 2021. Consultado el 14 de octubre de 2021 .
  196. ^ "kernel/git/torvalds/linux.git - Árbol de código fuente del kernel de Linux". kernel.org . Archivado desde el original el 2021-11-03 . Consultado el 2021-10-14 .
  197. ^ "kernel/git/next/linux-next.git - El árbol de pruebas de integración de linux-next". git.kernel.org . Consultado el 18 de septiembre de 2023 .
  198. ^ "Linux 6.7 dejará de ofrecer soporte para Itanium IA-64". www.phoronix.com . Consultado el 18 de septiembre de 2023 .
  199. ^ "Compaq OpenVMS Times" (PDF) . Enero de 2002. Archivado desde el original (PDF) el 2 de marzo de 2006.
  200. ^ abc Clair Grant (junio de 2005). "Porting OpenVMS to HP Integrity Servers" (PDF) . OpenVMS Technical Journal . 6 . Archivado (PDF) desde el original el 22 de noviembre de 2021 . Consultado el 22 de noviembre de 2021 .
  201. ^ Patrick Thibodeau (31 de julio de 2014). «HP le da nueva vida a OpenVMS». Computerworld . Archivado desde el original el 2021-10-30 . Consultado el 2021-10-21 .
  202. ^ "Hoja de ruta de OpenVMS". HPE. Julio de 2019. Archivado desde el original el 2021-11-22 . Consultado el 2021-11-22 .
  203. ^ ab "OpenVMS: una guía para la estrategia y la hoja de ruta". VSI . Archivado desde el original el 2021-11-12 . Consultado el 2021-11-12 .
  204. ^ "HPE NonStop OS". HPE. Abril de 2018. Archivado desde el original el 2021-11-22 . Consultado el 2021-11-22 .
  205. ^ "Familia de sistemas HPE NonStop" (PDF) . HPE. Mayo de 2021. Archivado (PDF) del original el 21 de enero de 2022 . Consultado el 22 de noviembre de 2021 .
  206. ^ Prashanth Kamath U (30 de julio de 2019). "Noticias de la división empresarial NonStop de HPE". The Connection . Archivado desde el original el 22 de noviembre de 2021. Consultado el 22 de noviembre de 2021 .
  207. ^ "La compatibilidad con Intel Itanium IA-64 quedará obsoleta en GCC 10 y su eliminación está prevista para GCC 11". Phoronix . Archivado desde el original el 2020-07-11 . Consultado el 2020-07-09 .
  208. ^ "Eliminar el backend IA-64. · llvm/llvm-project@1715115 · GitHub". GitHub . 24 de julio de 2009.
  209. ^ Novakovic, Nebojsa (25 de septiembre de 2008). «La supercomputación ahora está dominada por la arquitectura X86». The Inquirer . Archivado desde el original el 27 de septiembre de 2008. Consultado el 27 de septiembre de 2008 .{{cite web}}: CS1 maint: unfit URL (link)
  210. ^ Butler, Andrew (3 de octubre de 2008). "Preparación para Tukwila: la próxima generación de la familia de procesadores Itanium de Intel". Archivado desde el original el 14 de febrero de 2009. Consultado el 21 de octubre de 2008 .
  211. ^ "Procesador Generación / Itanium 2 Montecito". Sitio web TOP500 . Consultado el 6 de junio de 2022 .Seleccione “Junio ​​de 2012” y “Generación de procesador”
  212. ^ Vance, Ashlee (6 de mayo de 2004). "HP lleva a Hondo a servidores Itanium de gran tamaño". The Register . Consultado el 25 de noviembre de 2022 .
  213. ^ "El nuevo procesador Intel Itanium 9500 ofrece capacidades revolucionarias para la informática de misión crítica" (nota de prensa). Intel. Archivado desde el original el 12 de noviembre de 2012. Consultado el 9 de noviembre de 2012 .
  214. ^ Shilov, Anton. «Intel lanza el procesador de ocho núcleos Itanium 9500 «Poulson» para servidores de misión crítica». X-bit Labs. Archivado desde el original el 10 de noviembre de 2012. Consultado el 9 de noviembre de 2012 .
  215. ^ Undy, Steve. "LIBRO BLANCO Procesador Intel Itanium Serie 9500" (PDF) . Intel. Archivado desde el original (PDF) el 16 de junio de 2013 . Consultado el 9 de noviembre de 2012 .
  216. ^ Orlowski, Andrew (23 de enero de 2001). «Puntos de referencia: la emulación de Itanic de 32 bits es «inutilizable». No es broma: es más lenta que una P100». The Register . Consultado el 25 de noviembre de 2022 .
  217. ^ Dvorak, John C. (January 26, 2009). "How the Itanium Killed the Computer Industry". PC Mag. Archived from the original on June 8, 2012. Retrieved April 15, 2012.
  218. ^ Knuth, Donald E. (April 25, 2008). "Interview with Donald Knuth". InformIT. Archived from the original on February 23, 2021. Retrieved April 1, 2010.
  219. ^ Reger, Dan (April 2, 2010). "Windows Server 2008 R2 to Phase Out Itanium". Windows Server Blog. Archived from the original on June 13, 2018. Retrieved July 29, 2018.
  220. ^ Morgan, Timothy Prickett (December 18, 2009). "Red Hat pulls plug on Itanium with RHEL 6". The Register. Retrieved November 25, 2022.
  221. ^ a b Nystedt, Dan (March 22, 2011). "Oracle stops developing software for Intel's Itanium Chips". PC World. Archived from the original on December 30, 2021. Retrieved December 30, 2021.
  222. ^ "HP wins judgment in Itanium suit against Oracle". Ars Technica. August 2012. Archived from the original on November 12, 2020. Retrieved July 1, 2016.
  223. ^ "HP awarded $3B in damages from Oracle over Itanium database cancelation". Ars Technica. July 2016. Archived from the original on November 8, 2020. Retrieved July 1, 2016.
  224. ^ "Oracle Loses $3 Million Verdict For Ditching HP Itanium Chip". Bloomberg.com. 30 June 2016. Archived from the original on 2016-07-01. Retrieved July 1, 2016.
  225. ^ Brittain, Blake (15 June 2021). "Oracle loses bid to upend HP's $3 billion win". Reuters. Archived from the original on 2021-07-09. Retrieved July 7, 2021.
  226. ^ Vance, Ashlee (May 21, 2009). "A Decade Later, Intel's Itanium Chip Makes a Profit". The New York Times. Archived from the original on November 4, 2011. Retrieved April 7, 2010.
  227. ^ Darling, Patrick (March 23, 2011). "Intel Reaffirms Commitment to Itanium". Chip Shots. Intel. Archived from the original on March 27, 2020. Retrieved May 20, 2020.
  228. ^ Manek Dubash (July 20, 2006). "Will Intel abandon the Itanium?". Techworld. Archived from the original on February 19, 2011. Retrieved December 19, 2010. Once touted by Intel as a replacement for the x86 product line, expectations for Itanium have been throttled well back.
  229. ^ Demerjian, Charlie (September 26, 2003). "Why Intel's Prescott will use AMD64 extensions". The Inquirer. Archived from the original on October 10, 2009. Retrieved October 7, 2009.{{cite web}}: CS1 maint: unfit URL (link)
  230. ^ Markoff, John (June 9, 1994). "COMPANY NEWS; Intel Forms Chip Pact With Hewlett-Packard". The New York Times. Archived from the original on October 14, 2007. Retrieved April 26, 2007.
  231. ^ "HP, Novell and SCO To Deliver High-Volume UNIX OS With Advanced Network And Enterprise Services" (Press release). Hewlett-Packard Company; Novell; SCO. September 20, 1995. Archived from the original on January 23, 2007. Retrieved April 25, 2007.
  232. ^ Crothers, Brooke (October 23, 1996). "Compaq, Intel buddy up". CNET News.com. Retrieved November 13, 2007.
  233. ^ Veitch, Martin (May 20, 1998). "Dell will aid Intel with IA-64". ZDNet. Archived from the original on December 3, 2020. Retrieved February 7, 2020.
  234. ^ Lisa DiCarlo (May 28, 1998). "Intel to delay release of Merced". PCWeek Online. Archived from the original on February 19, 2001. Retrieved May 14, 2007.
  235. ^ "IBM Previews Technology Blueprint For Netfinity Server Line". IBM web site (Press release). September 9, 1998. Archived from the original on June 2, 2020. Retrieved June 12, 2021.
  236. ^ Stephen Shankland (July 21, 2000). "Sun, Intel part ways on Solaris plans". CNET News. Retrieved April 5, 2016.
  237. ^ Kanellos, Michael (November 14, 2001). "Itanium flunking Compaq server tests". CNET. Retrieved July 5, 2023.
  238. ^ "Thunder at TOP500". TOP500 web site. Archived from the original on June 22, 2007. Retrieved May 16, 2007.
  239. ^ "Columbia at TOP500". TOP500 web site. Archived from the original on July 11, 2007. Retrieved May 16, 2007.
  240. ^ Morgan, Timothy (July 6, 2005). "HP Ramps Up OpenVMS on Integrity Servers". ITJungle.com. Archived from the original on May 3, 2007. Retrieved March 29, 2007.
  241. ^ Shankland, Stephen (February 25, 2005). "IBM server design drops Itanium support". CNET News. Archived from the original on April 24, 2016. Retrieved March 19, 2007.
  242. ^ (Published on July 12, 2005) "CFP2000 Result – HITACHI BladeSymphony (1.66GHz/9MB Itanium 2)". SPEC web site. Archived from the original on August 1, 2020. Retrieved May 16, 2007.
    (Tested on June 23).
  243. ^ "Itanium Solutions Alliance Formed". Byte and Switch. September 26, 2005. Archived from the original on November 26, 2006. Retrieved March 24, 2007.
  244. ^ Shankland, Stephen (September 15, 2005). "Dell shuttering Itanium server business". CNET News. Retrieved March 19, 2007.
  245. ^ Preimesberger, Chris (July 19, 2006). "Is 'Montecito' Intel's Second Chance for Itanium?". eWeek. Retrieved December 30, 2021.
  246. ^ "CentOS Product Specifications". Centos.org. Archived from the original on September 4, 2011. Retrieved April 12, 2011.
  247. ^ Niccolai, James (April 4, 2009). "Microsoft Ending Support for Itanium". Computerworld. Archived from the original on December 30, 2021. Retrieved December 30, 2021. Windows Server 2008 R2 will be the last version of Windows Server to support the Intel Itanium architecture," [...] "SQL Server 2008 R2 and Visual Studio 2010 are also the last versions to support Itanium.
  248. ^ "Intel C++ Composer XE 2011 for Linux Installation Guide and Release Notes". Archived from the original on August 13, 2011. Retrieved April 12, 2011.
  249. ^ "Intel Reaffirms Commitment to Itanium". Newsroom.intel.com. March 23, 2011. Archived from the original on March 26, 2011. Retrieved April 12, 2011.
  250. ^ McLaughlin, Kevin (March 28, 2011). "HP CEO Apotheker Slams Oracle For Quitting Itanium". Crn.com. Archived from the original on April 9, 2011. Retrieved April 12, 2011.
  251. ^ Morgan, Timothy Prickett (April 14, 2011). "Huawei to forge big red Itanium iron". The Register. Retrieved November 25, 2022.
  252. ^ McMillan, Robert (February 1, 2012). "HP Paid Intel $690 Million To Keep Itanium On Life Support". Wired. wired.com. Archived from the original on February 3, 2012. Retrieved February 3, 2012.
  253. ^ "SAP Product availability Matrix". SAP. Archived from the original on July 28, 2012. Retrieved June 6, 2012.
  254. ^ "Oracle Issues Statement" (Press release). Oracle Corporation. September 4, 2012. Archived from the original on March 8, 2013. Retrieved March 8, 2013.
  255. ^ "Intel Itanium Processors Update". Intel Corporation. January 31, 2013. Archived from the original on February 13, 2013. Retrieved February 12, 2013.
  256. ^ "HP NonStop server update". Intel Corporation. November 5, 2013. Archived from the original on November 8, 2013. Retrieved November 5, 2013.
  257. ^ "OpenVMS Rolling Roadmap July 31, 2014" (PDF). vmssoftware.com. July 31, 2014. Archived from the original (PDF) on 2014-12-26. Retrieved July 31, 2014.
  258. ^ Robinson, Daniel (December 5, 2014). "HP's Xeon-based Superdome is another nail in Itanium's coffin". V3.co.uk. Archived from the original on 2014-12-25. Retrieved December 25, 2014.
  259. ^ Agam Shah (February 14, 2017). "Intel ships latest Itanium chip called Kittson, but grim future looms". IDG News. Archived from the original on May 11, 2017. Retrieved April 5, 2017.
  260. ^ "Hewlett Packard Enterprise (HPE) Changes May Affect Many OpenVMS Customers". Archived from the original on 2020-06-12. Retrieved 2020-06-12.
  261. ^ Anderson, Tim (February 1, 2021). "'It's dead, Jim': Torvalds marks Intel Itanium processors as orphaned in Linux kernel". Archived from the original on 2021-06-29. Retrieved 2021-07-29.
  262. ^ "Intel Itanium IA-64 Support Removed With The Linux 6.7 Kernel". www.phoronix.com. Retrieved 2024-01-26.

External links