stringtranslate.com

Lista de ejemplos de escala de semiconductores

Se enumeran muchos ejemplos a escala de semiconductores para varios nodos de procesos de fabricación de semiconductores de transistores de efecto de campo de óxido de metal-semiconductor (MOSFET, o transistor MOS) .

Cronología de las demostraciones de MOSFET

PMOS y NMOS

CMOS (puerta única)

MOSFET multipuerta (MuGFET)

Otros tipos de MOSFET

Productos comerciales que utilizan MOSFET a microescala

Productos con proceso de fabricación de 20 μm

Productos con proceso de fabricación de 10 μm

Productos con proceso de fabricación de 8 μm

Productos con proceso de fabricación de 6 μm

Productos con proceso de fabricación de 3 μm

Productos con proceso de fabricación de 1,5 μm

Productos con proceso de fabricación de 1 μm

Productos con proceso de fabricación de 800 nm

Productos con proceso de fabricación de 600 nm

Productos con proceso de fabricación de 350 nm

Productos con proceso de fabricación de 250 nm

Procesadores que utilizan tecnología de fabricación de 180 nm

Procesadores que utilizan tecnología de fabricación de 130 nm

Productos comerciales que utilizan MOSFET a escala nanométrica

Chips que utilizan tecnología de fabricación de 90 nm

Procesadores que utilizan tecnología de fabricación de 65 nm

Procesadores que utilizan tecnología de 45 nm

Chips que utilizan tecnología de 32 nm

Chips que utilizan tecnología de 24–28 nm

Chips que utilizan tecnología de 22 nm

Chips que utilizan tecnología de 20 nm

Chips que utilizan tecnología de 16 nm

Chips que utilizan tecnología de 14 nm

Chips que utilizan tecnología de 10 nm

Chips que utilizan tecnología de 7 nm

Chips que utilizan tecnología de 5 nm

Chips que utilizan tecnología de 3 nm

Véase también

Referencias

  1. ^ abc "Angstrom". Diccionario Collins Inglés . Consultado el 2 de marzo de 2019 .
  2. ^ Sze, Simon M. (2002). Dispositivos semiconductores: física y tecnología (PDF) (2.ª ed.). Wiley . p. 4. ISBN 0-471-33372-7.
  3. ^ Atalla, Mohamed M. ; Kahng, Dawon (junio de 1960). "Dispositivos de superficie inducidos por campos de silicio-dióxido de silicio". Conferencia de investigación de dispositivos de estado sólido IRE-AIEE . Carnegie Mellon University Press .
  4. ^ Voinigescu, Sorin (2013). Circuitos integrados de alta frecuencia. Cambridge University Press . pág. 164. ISBN 9780521873024.
  5. ^ Sah, Chih-Tang ; Leistiko, Otto; Grove, AS (mayo de 1965). "Movilidades de electrones y huecos en capas de inversión sobre superficies de silicio oxidadas térmicamente". IEEE Transactions on Electron Devices . 12 (5): 248–254. Bibcode :1965ITED...12..248L. doi :10.1109/T-ED.1965.15489.
  6. ^ Dennard, Robert H. ; Gaensslen, Fritz H.; Yu, Hwa-Nien; Kuhn, L. (diciembre de 1972). "Diseño de dispositivos de conmutación MOS de micrones". Reunión internacional de dispositivos electrónicos de 1972. Reunión internacional de dispositivos electrónicos de 1972. págs. 168–170. doi :10.1109/IEDM.1972.249198.
  7. ^ ab Hori, Ryoichi; Masuda, Hiroo; Minato, Osamu; Nishimatsu, Shigeru; Sato, Kikuji; Kubo, Masaharu (septiembre de 1975). "MOS-IC de canal corto basado en el diseño preciso de dispositivos bidimensionales". Revista japonesa de física aplicada . 15 (S1): 193. doi : 10.7567/JJAPS.15S1.193 . ISSN  1347-4065.
  8. ^ Critchlow, DL (2007). "Recuerdos sobre el escalamiento de MOSFET". Boletín de la IEEE Solid-State Circuits Society . 12 (1): 19–22. doi : 10.1109/N-SSC.2007.4785536 .
  9. ^ "1970s: Desarrollo y evolución de los microprocesadores" (PDF) . Museo de Historia de Semiconductores de Japón . Consultado el 27 de junio de 2019 .
  10. ^ "NEC 751 (uCOM-4)". La página del coleccionista de chips antiguos. Archivado desde el original el 25 de mayo de 2011. Consultado el 11 de junio de 2010 .
  11. ^ ab «1973: microprocesador de control de motor de 12 bits (Toshiba)» (PDF) . Museo de Historia de Semiconductores de Japón . Consultado el 27 de junio de 2019 .
  12. ^ Belzer, Jack; Holzman, Albert G.; Kent, Allen (1978). Enciclopedia de informática y tecnología: volumen 10: Álgebra lineal y matricial para microorganismos: identificación asistida por computadora. CRC Press . pág. 402. ISBN 9780824722609.
  13. ^ Dennard, Robert H. ; Gaensslen, FH; Yu, Hwa-Nien; Rideout, VL; Bassous, E.; LeBlanc, AR (octubre de 1974). "Diseño de MOSFET implantados con iones con dimensiones físicas muy pequeñas" (PDF) . IEEE Journal of Solid-State Circuits . 9 (5): 256–268. Bibcode :1974IJSSC...9..256D. CiteSeerX 10.1.1.334.2417 . doi :10.1109/JSSC.1974.1050511. S2CID  283984. 
  14. ^ Kubo, Masaharu; Hori, Ryoichi; Minato, Osamu; Sato, Kikuji (febrero de 1976). "Un circuito de control de voltaje umbral para circuitos integrados MOS de canal corto". Conferencia internacional de circuitos de estado sólido IEEE de 1976. Compendio de artículos técnicos . Conferencia internacional de circuitos de estado sólido IEEE de 1976. Compendio de artículos técnicos. Vol. XIX. págs. 54–55. doi :10.1109/ISSCC.1976.1155515. S2CID  21048622.
  15. ^ "Guía de referencia rápida de microprocesadores Intel". Intel . Consultado el 27 de junio de 2019 .
  16. ^ Hunter, William R.; Ephrath, LM; Cramer, Alice; Grobman, WD; Osburn, CM; Crowder, BL; Luhn, HE (abril de 1979). "Tecnología VLSI de MOSFET de 1 /spl mu/m. V. Una tecnología de polisilicio de un solo nivel que utiliza litografía por haz de electrones". IEEE Journal of Solid-State Circuits . 14 (2): 275–281. doi :10.1109/JSSC.1979.1051174. S2CID  26389509.
  17. ^ Kobayashi, Toshio; Horiguchi, Seiji; Kiuchi, K. (diciembre de 1984). "Características de MOSFET de submicrones profundos con óxido de compuerta de 5 nm". Reunión internacional de dispositivos electrónicos de 1984. págs. 414–417. doi :10.1109/IEDM.1984.190738. S2CID  46729489.
  18. ^ Kobayashi, Toshio; Horiguchi, Seiji; Miyake, M.; Oda, M.; Kiuchi, K. (diciembre de 1985). "MOSFET de transconductancia extremadamente alta (por encima de 500 mS/Mm) con óxido de compuerta de 2,5 nm". Reunión internacional de dispositivos electrónicos de 1985. págs. 761–763. doi :10.1109/IEDM.1985.191088. S2CID  22309664.
  19. ^ Chou, Stephen Y.; Antoniadis, Dimitri A.; Smith, Henry I. (diciembre de 1985). "Observación de sobreimpulso de la velocidad de los electrones en MOSFET de canal sub-100 nm en silicio". IEEE Electron Device Letters . 6 (12): 665–667. Bibcode :1985IEDL....6..665C. doi :10.1109/EDL.1985.26267. S2CID  28493431.
  20. ^ ab Chou, Stephen Y.; Smith, Henry I.; Antoniadis, Dimitri A. (enero de 1986). "Transistores de longitud de canal sub-100 nm fabricados mediante litografía de rayos X". Journal of Vacuum Science & Technology B: Procesamiento y fenómenos microelectrónicos . 4 (1): 253–255. Bibcode :1986JVSTB...4..253C. doi :10.1116/1.583451. ISSN  0734-211X.
  21. ^ Kobayashi, Toshio; Miyake, M.; Deguchi, K.; Kimizuka, M.; Horiguchi, Seiji; Kiuchi, K. (1987). "MOSFET de canal p de submitad micrómetro con óxido de compuerta de 3,5 nm fabricados mediante litografía de rayos X". IEEE Electron Device Letters . 8 (6): 266–268. Bibcode :1987IEDL....8..266M. doi :10.1109/EDL.1987.26625. S2CID  38828156.
  22. ^ Ono, Mizuki; Saito, Masanobu; Yoshitomi, Takashi; Fiegna, Claudio; Ohguro, Tatsuya; Iwai, Hiroshi (diciembre de 1993). "N-MOSFET con longitud de compuerta inferior a 50 nm y uniones de drenaje y fuente de fósforo de 10 nm". Actas de la reunión internacional de dispositivos electrónicos del IEEE . págs. 119–122. doi :10.1109/IEDM.1993.347385. ISBN . 0-7803-1450-6. Número de identificación del sujeto  114633315.
  23. ^ Kawaura, Hisao; Sakamoto, Toshitsugu; Baba, Toshio; Ochiai, Yukinori; Fujita, Jun'ichi; Matsui, Shinji; Sone, Jun'ichi (1997). "Propuesta de pseudo MOSFET de fuente y drenaje para evaluar MOSFET de compuerta de 10 nm". Revista japonesa de física aplicada . 36 (3S): 1569. Código Bibliográfico :1997JaJAP..36.1569K. doi :10.1143/JJAP.36.1569. ISSN  1347-4065. S2CID  250846435.
  24. ^ Ahmed, Khaled Z.; Ibok, Effiong E.; Song, Miryeong; Yeap, Geoffrey; Xiang, Qi; Bang, David S.; Lin, Ming-Ren (1998). "Rendimiento y confiabilidad de MOSFET sub-100 nm con óxidos de compuerta de tunelización directa ultradelgados". Simposio de 1998 sobre tecnología VLSI, Compendio de artículos técnicos (n.º de cat. 98CH36216) . págs. 160–161. doi :10.1109/VLSIT.1998.689240. ISBN . 0-7803-4770-6.S2CID109823217  .​
  25. ^ Ahmed, Khaled Z.; Ibok, Effiong E.; Song, Miryeong; Yeap, Geoffrey; Xiang, Qi; Bang, David S.; Lin, Ming-Ren (1998). "NMOSFET sub-100 nm con óxidos térmicos, nitrosos y nítricos de efecto túnel directo". 56.ª Conferencia Anual de Investigación de Dispositivos, resumen (n.º de cat. 98TH8373) . págs. 10-11. doi :10.1109/DRC.1998.731099. ISBN . 0-7803-4995-4. Número de identificación del sujeto  1849364.
  26. ^ Doris, Bruce B.; Dokumaci, Omer H.; Ieong, Meikei K.; Mocuta, Anda; Zhang, Ying; Kanarsky, Thomas S.; Roy, RA (diciembre de 2002). "Escalamiento extremo con MOSFET de canal de silicio ultradelgado". Compendio. International Electron Devices Meeting . págs. 267–270. doi :10.1109/IEDM.2002.1175829. ISBN 0-7803-7462-2.S2CID10151651  .​
  27. ^ abc Schwierz, Frank; Wong, Hei; Liou, Juin J. (2010). Nanometer CMOS. Pan Stanford Publishing. pág. 17. ISBN 9789814241083.
  28. ^ "IBM afirma tener el transistor de silicio más pequeño del mundo – TheINQUIRER". Theinquirer.net . 2002-12-09. Archivado desde el original el 31 de mayo de 2011 . Consultado el 7 de diciembre de 2017 .{{cite web}}: CS1 maint: unfit URL (link)
  29. ^ ab Wakabayashi, Hitoshi; Yamagami, Shigeharu; Ikezawa, Nobuyuki; Ogura, Atsushi; Narihiro, Mitsuru; Arai, K.; Ochiai, Y.; Takeuchi, K.; Yamamoto, T.; Mogami, T. (diciembre de 2003). "Dispositivos CMOS planos a granel de menos de 10 nm que utilizan control de unión lateral". Reunión internacional de dispositivos electrónicos IEEE 2003 . págs. 20.7.1–20.7.3. doi :10.1109/IEDM.2003.1269446. ISBN 0-7803-7872-5. Número de identificación del sujeto  2100267.
  30. ^ "1963: Se inventa la configuración de circuito MOS complementario". Museo de Historia de la Computación . Consultado el 6 de julio de 2019 .
  31. ^ Sah, Chih-Tang ; Wanlass, Frank (febrero de 1963). Lógica de nanovatios utilizando triodos semiconductores de óxido metálico de efecto de campo . Conferencia internacional de circuitos de estado sólido IEEE de 1963. Compendio de artículos técnicos. Vol. VI. págs. 32–33. doi :10.1109/ISSCC.1963.1157450.
  32. ^ abc Lojek, Bo (2007). Historia de la ingeniería de semiconductores. Springer Science & Business Media . p. 330. ISBN 9783540342588.
  33. ^ Aitken, A.; Poulsen, RG; MacArthur, ATP; White, JJ (diciembre de 1976). "Un proceso CMOS con implante de iones grabados con plasma completo". Reunión internacional de dispositivos electrónicos de 1976. Reunión internacional de dispositivos electrónicos de 1976. págs. 209–213. doi :10.1109/IEDM.1976.189021. S2CID  24526762.
  34. ^ "1978: SRAM CMOS rápida de doble pozo (Hitachi)" (PDF) . Museo de Historia de Semiconductores de Japón . Consultado el 5 de julio de 2019 .
  35. ^ Masuhara, Toshiaki; Minato, Osamu; Sasaki, Toshio; Sakai, Yoshio; Kubo, Masaharu; Yasui, Tokumasa (febrero de 1978). "Una RAM estática Hi-CMOS 4K de alta velocidad y bajo consumo". Conferencia internacional IEEE sobre circuitos de estado sólido de 1978. Compendio de artículos técnicos . Conferencia internacional IEEE sobre circuitos de estado sólido de 1978. Compendio de artículos técnicos. Vol. XXI. págs. 110–111. doi :10.1109/ISSCC.1978.1155749. S2CID  30753823.
  36. ^ Masuhara, Toshiaki; Minato, Osamu; Sakai, Yoshi; Sasaki, Toshio; Kubo, Masaharu; Yasui, Tokumasa (septiembre de 1978). "Dispositivo y circuitos Hi-CMOS de canal corto". ESSCIRC 78: 4.ª Conferencia Europea de Circuitos de Estado Sólido – Compendio de Documentos Técnicos : 131–132.
  37. ^ abcdefgh Gealow, Jeffrey Carl (10 de agosto de 1990). "Impacto de la tecnología de procesamiento en el diseño de amplificadores de detección de DRAM" (PDF) . Instituto Tecnológico de Massachusetts . págs. 149–166 . Consultado el 25 de junio de 2019 – vía CORE .
  38. ^ Chwang, RJC; Choi, M.; Creek, D.; Stern, S.; Pelley, PH; Schutz, Joseph D.; Bohr, MT; Warkentin, PA; Yu, K. (febrero de 1983). "Una DRAM CMOS de alta densidad de 70 ns". Conferencia internacional de circuitos de estado sólido IEEE de 1983. Compendio de artículos técnicos . Vol. XXVI. págs. 56–57. doi :10.1109/ISSCC.1983.1156456. S2CID  29882862.
  39. ^ Mano, Tsuneo; Yamada, J.; Inoue, Junichi; Nakajima, S. (febrero de 1983). "Circuitos de memoria VLSI submicrométricos". Conferencia internacional de circuitos de estado sólido IEEE de 1983. Compendio de artículos técnicos . Vol. XXVI. págs. 234–235. doi :10.1109/ISSCC.1983.1156549. S2CID  42018248.
  40. ^ Hu, GJ; Taur, Yuan; Dennard, Robert H .; Terman, LM; Ting, Chung-Yu (diciembre de 1983). "Una tecnología CMOS de 1 μm autoalineada para VLSI". Reunión internacional de dispositivos electrónicos de 1983. págs. 739–741. doi :10.1109/IEDM.1983.190615. S2CID  20070619.
  41. ^ Sumi, T.; Taniguchi, Tsuneo; Kishimoto, Mikio; Hirano, Hiroshige; Kuriyama, H.; Nishimoto, T.; Oishi, H.; Tetakawa, S. (1987). "Una DRAM de 60 ns y 4 Mb en un DIP de 300 mil". Conferencia internacional de circuitos de estado sólido IEEE de 1987. Compendio de artículos técnicos . Vol. XXX. págs. 282–283. doi :10.1109/ISSCC.1987.1157106. S2CID  60783996.
  42. ^ Mano, Tsuneo; Yamada, J.; Inoue, Junichi; Nakajima, S.; Matsumura, Toshiro; Minegishi, K.; Miura, K.; Matsuda, T.; Hashimoto, C.; Namatsu, H. (1987). "Tecnologías de circuitos para DRAM de 16 Mb". Conferencia internacional de circuitos de estado sólido IEEE de 1987. Compendio de artículos técnicos . Vol. XXX. págs. 22–23. doi :10.1109/ISSCC.1987.1157158. S2CID  60984466.
  43. ^ Hanafi, Hussein I.; Dennard, Robert H .; Taur, Yuan; Haddad, Nadim F.; Sun, JYC; Rodríguez, MD (septiembre de 1987). "Diseño y caracterización de dispositivos CMOS de 0,5 μm". ESSDERC '87: 17.ª Conferencia Europea de Investigación de Dispositivos de Estado Sólido : 91–94.
  44. ^ Kasai, Naoki; Endo, Nobuhiro; Kitajima, Hiroshi (diciembre de 1987). "Tecnología CMOS de 0,25 μm utilizando PMOSFET de compuerta de polisilicio P + ". Reunión internacional de dispositivos electrónicos de 1987. págs. 367–370. doi :10.1109/IEDM.1987.191433. S2CID  9203005.
  45. ^ Inoue, M.; Kotani, H.; Yamada, T.; Yamauchi, Hiroyuki; Fujiwara, A.; Matsushima, J.; Akamatsu, Hironori; Fukumoto, M.; Kubota, M.; Nakao, I.; Aoi (1988). "Un DRAM de 16 MB con una arquitectura de línea de bits abierta". Conferencia internacional de circuitos de estado sólido IEEE de 1988, ISSCC de 1988. Compendio de artículos técnicos . págs. 246–. doi :10.1109/ISSCC.1988.663712. S2CID  62034618.
  46. ^ Shahidi, Ghavam G. ; Davari, Bijan ; Taur, Yuan; Warnock, James D.; Wordeman, Matthew R.; McFarland, PA; Mader, SR; Rodriguez, MD (diciembre de 1990). "Fabricación de CMOS en SOI ultrafino obtenido por sobrecrecimiento lateral epitaxial y pulido químico-mecánico". International Technical Digest on Electron Devices : 587–590. doi :10.1109/IEDM.1990.237130. S2CID  114249312.
  47. ^ abcdefghijklmn «Memoria». STOL (Semiconductor Technology Online) . Archivado desde el original el 2 de noviembre de 2023. Consultado el 25 de junio de 2019 .
  48. ^ "Tecnología de 0,18 micrones". TSMC . Consultado el 30 de junio de 2019 .
  49. ^ "NEC produce mediante pruebas el transistor más pequeño del mundo". Thefreelibrary.com . Consultado el 7 de diciembre de 2017 .
  50. ^ Sekigawa, Toshihiro; Hayashi, Yutaka (agosto de 1984). "Características de voltaje umbral calculadas de un transistor XMOS que tiene una compuerta inferior adicional". Electrónica de estado sólido . 27 (8): 827–828. Bibcode :1984SSEle..27..827S. doi :10.1016/0038-1101(84)90036-4. ISSN  0038-1101.
  51. ^ Koike, Hanpei; Nakagawa, Tadashi; Sekigawa, Toshiro; Suzuki, E.; Tsutsumi, Toshiyuki (23 de febrero de 2003). "Consideración primaria sobre el modelado compacto de MOSFET DG con modo de operación de cuatro terminales" (PDF) . TechConnect Briefs . 2 (2003): 330–333. S2CID  189033174. Archivado desde el original (PDF) el 26 de septiembre de 2019.
  52. ^ Davari, Bijan ; Chang, Wen-Hsing; Wordeman, Matthew R.; Oh, CS; Taur, Yuan; Petrillo, Karen E.; Rodriguez, MD (diciembre de 1988). "Una tecnología CMOS de 0,25 μm de alto rendimiento". Technical Digest., International Electron Devices Meeting . págs. 56–59. doi :10.1109/IEDM.1988.32749. S2CID  114078857.
  53. ^ Davari, Bijan ; Wong, CY; Sun, Jack Yuan-Chen; Taur, Yuan (diciembre de 1988). "Dopaje de polisilicio n/Sup+/ y p/Sup+/ en un proceso CMOS de doble compuerta". Technical Digest., International Electron Devices Meeting . págs. 238–241. doi :10.1109/IEDM.1988.32800. S2CID  113918637.
  54. ^ Masuoka, Fujio ; Takato, Hiroshi; Sunouchi, Kazumasa; Okabe, N.; Nitayama, Akihiro; Hieda, K.; Horiguchi, Fumio (diciembre de 1988). "Transistor de compuerta circundante CMOS de alto rendimiento (SGT) para LSI de ultra alta densidad". Technical Digest., International Electron Devices Meeting . págs. 222–225. doi :10.1109/IEDM.1988.32796. S2CID  114148274.
  55. ^ Brozek, Tomasz (2017). Microelectrónica y nanoelectrónica: desafíos y soluciones emergentes para los dispositivos. CRC Press . p. 117. ISBN 9781351831345.
  56. ^ Ishikawa, Fumitaro; Buyanova, Irina (2017). Nuevos nanocables semiconductores compuestos: materiales, dispositivos y aplicaciones. CRC Press . p. 457. ISBN 9781315340722.
  57. ^ Colinge, JP (2008). FinFET y otros transistores multipuerta. Springer Science & Business Media. pág. 11. ISBN 9780387717517.
  58. ^ Hisamoto, Digh; Kaga, Toru; Kawamoto, Yoshifumi; Takeda, Eiji (diciembre de 1989). "Un transistor de canal delgado completamente agotado (DELTA): un nuevo MOSFET SOI ultradelgado vertical". International Technical Digest on Electron Devices Meeting . págs. 833–836. doi :10.1109/IEDM.1989.74182. S2CID  114072236.
  59. ^ "Ganadores del premio IEEE Andrew S. Grove". Premio IEEE Andrew S. Grove . Instituto de Ingenieros Eléctricos y Electrónicos . Archivado desde el original el 9 de septiembre de 2018. Consultado el 4 de julio de 2019 .
  60. ^ abc Tsu-Jae King, Liu (11 de junio de 2012). «FinFET: Historia, fundamentos y futuro». Universidad de California, Berkeley . Curso breve sobre tecnología VLSI. Archivado desde el original el 28 de mayo de 2016. Consultado el 9 de julio de 2019 .
  61. ^ Hisamoto, Digh; Hu, Chenming; Liu, Tsu-Jae King; Bokor, Jeffrey; Lee, Wen-Chin; Kedzierski, Jakub; Anderson, Erik; Takeuchi, Hideki; Asano, Kazuya (diciembre de 1998). "Un MOSFET de canal plegado para la era de las profundidades sub-décimas de micrón". International Electron Devices Meeting 1998. Technical Digest (Cat. No. 98CH36217) . págs. 1032–1034. doi :10.1109/IEDM.1998.746531. ISBN 0-7803-4774-9. Número de identificación del sujeto  37774589.
  62. ^ Hu, Chenming ; Choi, Yang-Kyu; Lindert, N.; Xuan, P.; Tang, S.; Ha, D.; Anderson, E.; Bokor, J.; Tsu-Jae King, Liu (diciembre de 2001). "Tecnologías FinFET CMOS sub-20 nm". International Electron Devices Meeting. Technical Digest (Cat. No. 01CH37224) . pp. 19.1.1–19.1.4. doi :10.1109/IEDM.2001.979526. ISBN 0-7803-7050-3.S2CID8908553  .​
  63. ^ Ahmed, Shibly; Bell, Scott; Tabery, Cyrus; Bokor, Jeffrey; Kyser, David; Hu, Chenming; Liu, Tsu-Jae King; Yu, Bin; Chang, Leland (diciembre de 2002). "Escalado de FinFET a una longitud de compuerta de 10 nm" (PDF) . Compendio. International Electron Devices Meeting . págs. 251–254. CiteSeerX 10.1.1.136.3757 . doi :10.1109/IEDM.2002.1175825. ISBN  0-7803-7462-2. S2CID  7106946. Archivado desde el original (PDF) el 27 de mayo de 2020. Consultado el 11 de octubre de 2019 .
  64. ^ Lee, Hyunjin; Choi, Yang-Kyu; Yu, Lee-Eun; Ryu, Seong-Wan; Han, Jin-Woo; Jeon, K.; Jang, DY; Kim, Kuk-Hwan; Lee, Ju-Hyun; et al. (junio de 2006). "FinFET de puerta versátil de sub-5 nm para escalamiento definitivo". Simposio de 2006 sobre tecnología VLSI, 2006. Compendio de artículos técnicos . págs. 58–59. doi :10.1109/VLSIT.2006.1705215. hdl : 10203/698 . ISBN . 978-1-4244-0005-8. Número de identificación del sujeto  26482358.
  65. ^ "Todavía hay espacio en el fondo (transistor nanométrico desarrollado por Yang-kyu Choi del Instituto Avanzado de Ciencia y Tecnología de Corea)", Nanoparticle News , 1 de abril de 2006, archivado desde el original el 6 de noviembre de 2012
  66. ^ Weimer, Paul K. (junio de 1962). "El TFT: un nuevo transistor de película fina". Actas del IRE . 50 (6): 1462–1469. doi :10.1109/JRPROC.1962.288190. ISSN  0096-8390. S2CID  51650159.
  67. ^ Kuo, Yue (1 de enero de 2013). "Tecnología de transistores de película fina: pasado, presente y futuro" (PDF) . The Electrochemical Society Interface . 22 (1): 55–61. Bibcode :2013ECSIn..22a..55K. doi : 10.1149/2.F06131if . ISSN  1064-8208.
  68. ^ Ye, Peide D.; Xuan, Yi; Wu, Yanqing; Xu, Min (2010). "Dispositivos semiconductores de óxido metálico de alto k/III-V depositados en capas atómicas y modelo empírico correlacionado". En Oktyabrsky, Serge; Ye, Peide (eds.). Fundamentos de los MOSFET semiconductores III-V . Springer Science & Business Media . págs. 173–194. doi :10.1007/978-1-4419-1547-4_7. ISBN 978-1-4419-1547-4.
  69. ^ Brody, TP; Kunig, HE (octubre de 1966). "UN TRANSISTOR DE PELÍCULA DELGADA DE ALTA GANANCIA". Applied Physics Letters . 9 (7): 259–260. Bibcode :1966ApPhL...9..259B. doi : 10.1063/1.1754740 . ISSN  0003-6951.
  70. ^ Woodall, Jerry M. (2010). Fundamentos de los MOSFET semiconductores III-V. Springer Science & Business Media . Págs. 2-3. ISBN. 9781441915474.
  71. ^ Kahng, Dawon ; Sze, Simon Min (julio-agosto de 1967). "Una compuerta flotante y su aplicación a dispositivos de memoria". The Bell System Technical Journal . 46 (6): 1288–1295. Bibcode :1967ITED...14Q.629K. doi :10.1002/j.1538-7305.1967.tb01738.x.
  72. ^ Wegener, HAR; Lincoln, AJ; Pao, HC; O'Connell, MR; Oleksiak, RE; Lawrence, H. (octubre de 1967). "El transistor de umbral variable, un nuevo dispositivo de almacenamiento de sólo lectura, no destructivo y eléctricamente alterable". Reunión internacional de dispositivos electrónicos de 1967. Vol. 13. pág. 70. doi :10.1109/IEDM.1967.187833.
  73. ^ Lin, Hung Chang ; Iyer, Ramachandra R. (julio de 1968). "Un amplificador de audio monolítico Mos-Bipolar". Transacciones IEEE sobre receptores de radiodifusión y televisión . 14 (2): 80–86. doi :10.1109/TBTR1.1968.4320132.
  74. ^ ab Alvarez, Antonio R. (1990). "Introducción a BiCMOS". Tecnología y aplicaciones de BiCMOS . Springer Science & Business Media . págs. 1–20 (2). doi :10.1007/978-1-4757-2029-7_1. ISBN 9780792393849.
  75. ^ Lin, Hung Chang ; Iyer, Ramachandra R.; Ho, CT (octubre de 1968). "Estructura complementaria MOS-bipolar". Reunión internacional de dispositivos electrónicos de 1968 . Reunión internacional de dispositivos electrónicos de 1968. págs. 22–24. doi :10.1109/IEDM.1968.187949.
  76. ^ ab "Los avances en semiconductores discretos siguen su curso". Tecnología de electrónica de potencia . Informa : 52–6. Septiembre de 2005. Archivado (PDF) desde el original el 22 de marzo de 2006. Consultado el 31 de julio de 2019 .
  77. ^ Oxner, ES (1988). Tecnología y aplicación de Fet. CRC Press . pág. 18. ISBN 9780824780500.
  78. ^ Tarui, Y.; Hayashi, Y.; Sekigawa, Toshihiro (septiembre de 1969). "MOST autoalineado por difusión; un nuevo enfoque para dispositivos de alta velocidad". Resúmenes ampliados de la Conferencia de 1969 sobre dispositivos de estado sólido . doi :10.7567/SSDM.1969.4-1. S2CID  184290914. {{cite book}}: |journal=ignorado ( ayuda )
  79. ^ McLintock, GA; Thomas, RE (diciembre de 1972). "Modelado de los MOST de doble difusión con puertas autoalineadas". Reunión internacional de dispositivos electrónicos de 1972. Reunión internacional de dispositivos electrónicos de 1972. págs. 24–26. doi :10.1109/IEDM.1972.249241.
  80. ^ Bergveld, P. (enero de 1970). "Desarrollo de un dispositivo de estado sólido sensible a iones para mediciones neurofisiológicas". IEEE Transactions on Biomedical Engineering . BME-17 (1): 70–71. doi :10.1109/TBME.1970.4502688. PMID  5441220.
  81. ^ Chris Toumazou; Pantelis Georgiou (diciembre de 2011). "40 años de tecnología ISFET: desde la detección neuronal hasta la secuenciación de ADN". Electronics Letters . doi :10.1049/el.2011.3231 . Consultado el 13 de mayo de 2016 .
  82. ^ Tarui, Y.; Hayashi, Y.; Sekigawa, Toshihiro (octubre de 1970). Mejora de DSA: circuito integrado MOS de agotamiento . Reunión internacional de dispositivos electrónicos de 1970. pág. 110. doi :10.1109/IEDM.1970.188299.
  83. ^ Duncan, Ben (1996). Amplificadores de potencia de audio de alto rendimiento. Elsevier . págs. 177–8, 406. ISBN 9780080508047.
  84. ^ Baliga, B. Jayant (2015). El dispositivo IGBT: física, diseño y aplicaciones del transistor bipolar de puerta aislada. William Andrew . págs. xxviii, 5–12. ISBN 9781455731534.
  85. ^ Higuchi, H.; Kitsukawa, Goro; Ikeda, Takahide; Nishio, Y.; Sasaki, N.; Ogiue, Katsumi (diciembre de 1984). "Rendimiento y estructuras de dispositivos bipolares de escala reducida fusionados con CMOSFET". Reunión internacional de dispositivos electrónicos de 1984. págs. 694–697. doi :10.1109/IEDM.1984.190818. S2CID  41295752.
  86. ^ Deguchi, K.; Komatsu, Kazuhiko; Miyake, M.; Namatsu, H.; Sekimoto, M.; Hirata, K. (1985). "Litografía híbrida de rayos X/fotografía de repetición y paso para dispositivos Mos de 0,3 μm". Simposio de 1985 sobre tecnología VLSI. Compendio de artículos técnicos : 74–75.
  87. ^ Momose, H.; Shibata, Hideki; Saitoh, S.; Miyamoto, Jun-ichi; Kanzaki, K.; Kohyama, Susumu (1985). "1,0-/spl mu/m n-Well CMOS/Tecnología bipolar". Revista IEEE de circuitos de estado sólido . 20 (1): 137-143. Código bibliográfico : 1985IJSSC..20..137M. doi :10.1109/JSSC.1985.1052286. S2CID  37353920.
  88. ^ Lee, Han-Sheng; Puzio, LC (noviembre de 1986). "Las propiedades eléctricas de los MOSFET de longitud de compuerta de menos de un cuarto de micrómetro". IEEE Electron Device Letters . 7 (11): 612–614. Bibcode :1986IEDL....7..612H. doi :10.1109/EDL.1986.26492. S2CID  35142126.
  89. ^ Shahidi, Ghavam G .; Antoniadis, Dimitri A.; Smith, Henry I. (diciembre de 1986). "Sobreimpulso de la velocidad de los electrones a 300 K y 77 K en MOSFET de silicio con longitudes de canal submicrónicas". 1986 International Electron Devices Meeting . págs. 824–825. doi :10.1109/IEDM.1986.191325. S2CID  27558025.
  90. ^ Davari, Bijan ; Ting, Chung-Yu; Ahn, Kie Y.; Basavaiah, S.; Hu, Chao-Kun; Taur, Yuan; Wordeman, Matthew R.; Aboelfotoh, O. (mayo de 1987). "MOSFET de compuerta de tungsteno submicrónico con óxido de compuerta de 10 nm". Simposio de 1987 sobre tecnología VLSI. Compendio de artículos técnicos : 61–62.
  91. ^ Havemann, Robert H.; Eklund, RE; Tran, Hiep V.; Haken, RA; Scott, DB; Fung, PK; Ham, TE; Favreau, DP; Virkus, RL (diciembre de 1987). "Una tecnología SRAM BiCMOS de 0,8 μm y 256 K". Reunión internacional de dispositivos electrónicos de 1987. págs. 841–843. doi :10.1109/IEDM.1987.191564. S2CID  40375699.
  92. ^ Kawaura, Hisao; Sakamoto, Toshitsugu; Baba, Toshio; Ochiai, Yukinori; Fujita, Jun-ichi; Matsui, Shinji; Sone, J. (1997). "Operaciones de transistores en EJ-MOSFET de longitud de puerta de 30 nm". 1997 Resumen de la 55ª Conferencia Anual de Investigación de Dispositivos . págs. 14-15. doi :10.1109/RDC.1997.612456. ISBN 0-7803-3911-8.S2CID38105606  .​
  93. ^ Kawaura, Hisao; Sakamoto, Toshitsugu; Baba, Toshio (12 de junio de 2000). "Observación de la corriente de tunelización directa de fuente a drenaje en transistores de efecto de campo de metal-óxido-semiconductor de unión superficial eléctricamente variable con compuerta de 8 nm". Applied Physics Letters . 76 (25): 3810–3812. Código Bibliográfico :2000ApPhL..76.3810K. doi :10.1063/1.126789. ISSN  0003-6951.
  94. ^ Lojek, Bo (2007). Historia de la ingeniería de semiconductores. Springer Science & Business Media . Págs. 362-363. ISBN. 9783540342588El i1103 se fabricó mediante un proceso P-MOS de compuerta de silicio de 6 máscaras con características mínimas de 8 μm. El producto resultante tenía 2400 μm, 2 celdas de memoria de tamaño, un tamaño de chip de poco menos de 10 mm 2 y se vendió por alrededor de $21.
  95. ^ Corder, Mike (primavera de 1999). "Big Things in Small Packages". Pioneers' Progress with picoJava Technology (Progreso de los pioneros con la tecnología picoJava) . Sun Microelectronics. Archivado desde el original el 12 de marzo de 2006. Consultado el 23 de abril de 2012. El primer 6502 se fabricó con tecnología de 8 micrones, funcionaba a un megahercio y tenía una memoria máxima de 64k.
  96. ^ ab "Historia del microprocesador Intel - Listoid". Archivado desde el original el 27 de abril de 2015. Consultado el 2 de julio de 2019 .
  97. ^ abc «Historia de un caso de diseño: el Commodore 64» (PDF) . IEEE Spectrum . Archivado desde el original (PDF) el 13 de mayo de 2012. Consultado el 1 de septiembre de 2019 .
  98. ^ Mueller, S (21 de julio de 2006). "Microprocesadores desde 1971 hasta la actualidad". informIT . Consultado el 11 de mayo de 2012 .
  99. ^ "Manual de Amiga: Especificaciones del sistema Amiga 3000+ 1991". 17 de julio de 1991.
  100. ^ "¿Tecnología de proceso de semiconductores Propeller I? ¿350 nm o 180 nm?". Archivado desde el original el 10 de julio de 2012. Consultado el 10 de septiembre de 2012 .
  101. ^ ab "Motor de emociones y sintetizador gráfico utilizados en el núcleo de PlayStation se convierten en un solo chip" (PDF) (Nota de prensa). Sony . 21 de abril de 2003 . Consultado el 26 de junio de 2019 .
  102. ^ Krewell, Kevin (21 de octubre de 2002). "El SPARC64 V de Fujitsu es una auténtica ganga". Microprocessor Report .
  103. ^ "ソニー、65nm対応の半導体設備を導入。3年間で2000億円の投資". pc.watch.impress.co.jp . Archivado desde el original el 13 de agosto de 2016.
  104. ^ TG Daily – AMD prepara procesadores Turion X2 de 65 nm Archivado el 13 de septiembre de 2007 en Wayback Machine
  105. ^ http://focus.ti.com/pdfs/wtbu/ti_omap3family.pdf [ URL básica PDF ]
  106. ^ "Panasonic comienza a vender un sistema UniPhier LSI de nueva generación". Panasonic . 10 de octubre de 2007 . Consultado el 2 de julio de 2019 .
  107. ^ "Toshiba realiza importantes avances en memoria flash NAND con la generación de 32 nm de 3 bits por celda y con tecnología de 43 nm de 4 bits por celda". Toshiba . 11 de febrero de 2009 . Consultado el 21 de junio de 2019 .
  108. ^ "Intel presenta procesadores de escritorio Westmere de 32 nm". InformationWeek, 7 de enero de 2010. Consultado el 17 de diciembre de 2011.
  109. ^ Cangeloso, Sal (4 de febrero de 2010). «Pronto llegarán los procesadores de 32 nm y 6 núcleos de Intel». Geek.com . Archivado desde el original el 30 de marzo de 2012. Consultado el 11 de noviembre de 2011 .
  110. ^ "Ambarella A7L permite la próxima generación de cámaras digitales con vídeo de movimiento fluido de 1080p60". Comunicado de prensa . 26 de septiembre de 2011. Archivado desde el original el 10 de noviembre de 2011 . Consultado el 11 de noviembre de 2011 .
  111. ^ Artículo que informa sobre el anuncio de la tecnología Hynix de 26 nm
  112. ^ Toshiba lanza memoria flash NAND con proceso de 24 nm
  113. ^ "El procesador ruso de 28 nm "Elbrus-8C" entrará en producción en 2016" . Consultado el 7 de septiembre de 2020 .
  114. ^ "Se ha creado otro sistema de almacenamiento de datos doméstico en el "Elbrus"". 25 de agosto de 2020 . Consultado el 7 de septiembre de 2020 .
  115. ^ Intel lanza Ivy Bridge...
  116. ^ "Historia". Samsung Electronics . Samsung . Consultado el 19 de junio de 2019 .
  117. ^ "Tecnología de 16/12 nm". TSMC . Consultado el 30 de junio de 2019 .
  118. ^ EETimes Intel presenta el Broadwell de 14 nm en Las Vegas
  119. ^ "Descripción general de la arquitectura AMD Zen". Tech4Gizmos . 2015-12-04 . Consultado el 2019-05-01 .
  120. ^ "Samsung produce en masa memoria Flash NAND MLC de 3 bits y 128 GB". Tom's Hardware . 11 de abril de 2013. Archivado desde el original el 21 de junio de 2019 . Consultado el 21 de junio de 2019 .
  121. ^ Samsung inicia la primera producción en masa de un sistema en chip con tecnología FinFET de 10 nanómetros, octubre de 2016
  122. ^ "Tecnología de 10 nm". TSMC . Consultado el 30 de junio de 2019 .
  123. ^ "Últimos teléfonos inteligentes Samsung Galaxy | Teléfonos móviles".
  124. ^ techinsights.com. "El lanzamiento de la tecnología 10nm avanza a buen ritmo". www.techinsights.com . Archivado desde el original el 2017-08-03 . Consultado el 2017-06-30 .
  125. ^ "Tecnología de 7 nm". TSMC . Consultado el 30 de junio de 2019 .
  126. ^ TSMC aumenta la producción de chips de 7 nm Monica Chen, Hsinchu; Jessie Shen, DIGITIMES Viernes 22 de junio de 2018
  127. ^ "El A12 Bionic de Apple es el primer chip de 7 nanómetros para smartphones". Engadget . Consultado el 20 de septiembre de 2018 .
  128. ^ Smith, Ryan. "AMD anuncia los aceleradores Radeon Instinct MI60 y MI50: impulsados ​​por Vega de 7 nm". www.anandtech.com . Consultado el 9 de enero de 2021 .
  129. ^ Cutress, Ian. "AMD Ryzen 3000 anunciado: cinco CPU, 12 núcleos por $499, hasta 4,6 GHz, PCIe 4.0, disponible el 7 de julio". www.anandtech.com . Consultado el 9 de enero de 2021 .
  130. ^ Smith, Ryan. "Sony adelanta una PlayStation de próxima generación: chip AMD personalizado con CPU Zen 2 y GPU Navi, SSD también". www.anandtech.com . Consultado el 9 de enero de 2021 .
  131. ^ Howse, Brett. "Xbox en E3 2019: La consola Xbox Project Scarlett se lanzará en las vacaciones de 2020". www.anandtech.com . Consultado el 9 de enero de 2021 .
  132. ^ Shilov, Anton. "Samsung completa el desarrollo de la tecnología de proceso EUV de 5 nm". www.anandtech.com . Consultado el 31 de mayo de 2019 .
  133. ^ TSMC y los socios del ecosistema OIP ofrecen la primera infraestructura de diseño completa de la industria para la tecnología de proceso de 5 nm (comunicado de prensa), TSMC, 3 de abril de 2019
  134. ^ "TSMC planea una nueva fábrica de 3 nm". EE Times . 12 de diciembre de 2016 . Consultado el 26 de septiembre de 2019 .
  135. ^ Armasu, Lucian (11 de enero de 2019), "Samsung planea la producción en masa de chips GAAFET de 3 nm en 2021", Tom's Hardware
  136. ^ Smith, Ryan. "Samsung inicia la producción de 3 nm: comienza la era Gate-All-Around (GAAFET)". www.anandtech.com . Consultado el 8 de noviembre de 2022 .