stringtranslate.com

Power PC G4

PowerPC G4 es una designación utilizada anteriormente por Apple para describir una cuarta generación de microprocesadores PowerPC de 32 bits . Apple ha aplicado este nombre a varios modelos de procesadores (aunque estrechamente relacionados) de Freescale , una antigua parte de Motorola . El nombre propio de Motorola y Freescale para esta familia de procesadores es PowerPC 74xx .

Las computadoras Macintosh , como las portátiles PowerBook G4 e iBook G4 y las computadoras de escritorio Power Mac G4 y Power Mac G4 Cube, tomaron su nombre del procesador. Los procesadores PowerPC G4 también se utilizaron en el eMac , los Xserve de primera generación , los Mac Mini de primera generación y el iMac G4 antes de la introducción del PowerPC 970 .

Apple eliminó por completo la serie G4 para los modelos de escritorio después de seleccionar el procesador PowerPC 970 de 64 bits producido por IBM como base para su serie PowerPC G5. El último modelo de escritorio que utilizó el G4 fue el Mac Mini. El último portátil en utilizar el G4 fue el iBook G4, que fue reemplazado por el MacBook basado en Intel . El PowerBook G4 fue reemplazado por el MacBook Pro basado en Intel .

Los procesadores PowerPC G4 también son populares en otros sistemas informáticos, como la serie de ordenadores AmigaOne y los Pegasos de Genesi . Además de las computadoras de escritorio, el PowerPC G4 es popular en entornos integrados, como enrutadores, conmutadores de telecomunicaciones, procesamiento de imágenes, medios, aviónica y aplicaciones militares, donde se pueden aprovechar las ventajas de AltiVec y sus capacidades SMP .

Power PC 7400

El PowerPC 7400 (cuyo nombre en código es "Max") debutó en agosto de 1999 y fue el primer procesador en llevar el apodo "G4". El chip funciona a velocidades que oscilan entre 350 y 500 MHz y contiene 10,5 millones de transistores, fabricados mediante el proceso HiPerMOS6 de 0,20 μm de Motorola. La matriz mide 83 mm 2 y cuenta con interconexiones de cobre .

Motorola había prometido a Apple entregar piezas con una velocidad de hasta 500 MHz, pero los rendimientos resultaron demasiado bajos inicialmente. Esto obligó a Apple a retirar los modelos anunciados de Power Mac G4 de 500 MHz . La serie Power Mac se redujo abruptamente de velocidades de procesador de 400, 450 y 500 MHz a 350, 400 y 450 MHz mientras se solucionaban los problemas con el chip. El incidente generó una ruptura en la relación Apple-Motorola y, según se informa, provocó que Apple pidiera ayuda a IBM para aumentar el rendimiento de producción en la línea de la serie Motorola 7400. [1] El modelo de 500 MHz se reintrodujo el 16 de febrero de 2000.

Diseño

Gran parte del diseño del 7400 fue realizado por Motorola en estrecha colaboración con Apple e IBM . IBM, el tercer miembro de la alianza AIM , diseñó el chip junto con Motorola en su centro de diseño de Somerset, pero optó por no fabricarlo, porque no vio la necesidad en aquel momento de la Unidad de Procesamiento Vectorial. En última instancia, el diseño de la arquitectura G4 contenía una unidad de procesamiento vectorial de 128 bits denominada AltiVec por Motorola, mientras que el marketing de Apple se refería a ella como "Velocity Engine".

El PowerPC 970 (G5) fue la primera CPU fabricada por IBM que implementó VMX/AltiVec , para lo cual IBM reutilizó el antiguo diseño 7400 que todavía tenían del diseño que hicieron con Motorola en Somerset. La CPU Xenon de Xbox 360 también cuenta con VMX, con extensiones patentadas adicionales creadas especialmente para Microsoft. POWER6 , presentado en 2007, es la primera CPU " grande " de IBM que también implementa VMX.

Con la unidad AltiVec, el microprocesador 7400 puede realizar cálculos de coma flotante de precisión simple de cuatro vías (32 bits), o cálculos de enteros de 16 bits de 8 bits, 8 bits de 16 bits o cuatro vías de 32 bits en un solo ciclo. Además, la unidad de procesamiento de vectores es superescalar y puede realizar dos operaciones vectoriales al mismo tiempo. En comparación con los microprocesadores x86 de Intel en ese momento, esta característica ofrecía un aumento sustancial del rendimiento de las aplicaciones diseñadas para aprovechar la unidad AltiVec. Algunos ejemplos son Adobe Photoshop, que utiliza la unidad AltiVec para una representación más rápida de efectos y transiciones, y la suite iLife de Apple , que aprovecha la unidad para importar y convertir archivos sobre la marcha.

Además, el 7400 tiene soporte mejorado para multiprocesamiento simétrico (SMP) gracias a un protocolo de coherencia de caché mejorado ( MERSI ) y una unidad de punto flotante (FPU) de 64 bits , derivada en parte de la serie 604 . La serie 603 tenía una FPU de 32 bits, que necesitaba dos ciclos de reloj para realizar la aritmética de punto flotante de 64 bits .

La familia PowerPC G4 admite dos tecnologías de bus, el bus 60x más antiguo que comparte con las familias PowerPC 600 y PowerPC 7xx , y el bus MPX más avanzado. Los dispositivos que utilizan el bus 60x pueden hacerse compatibles con procesadores 6xx o 7xx, lo que permite una amplia variedad de ofertas y una ruta de actualización clara y económica, manteniendo al mínimo los problemas de compatibilidad. Hay principalmente dos empresas que fabrican controladores de sistemas para computadoras 7xx y 7xxx, Tundra con sus controladores Tsi1xx y Marvell con sus controladores Discovery.

Power PC 7410

El PowerPC 7410 "Nitro" es una versión de bajo consumo del 7400, pero se fabricó a 180 nm en lugar de 200 nm. Al igual que el 7400, tiene 10,5 millones de transistores. Debutó en el PowerBook G4 el 9 de enero de 2001.

El chip agregó la capacidad de usar todo o la mitad de su caché como memoria no almacenada en caché de alta velocidad asignada al espacio de direcciones físicas del procesador, según se desee. Esta característica fue utilizada por proveedores de sistemas integrados como Mercury Computer Systems .

Power PC 7450

Un Motorola PowerPC 7450 de 800 MHz en un módulo de CPU Power Mac G4
Diseño PowerPC G4e

El PowerPC 7450 "Voyager"/"V'ger" fue el único rediseño importante del procesador G4. El chip de 33 millones de transistores amplió significativamente el proceso de ejecución de 7400 (7 frente a 4 etapas como mínimo) para alcanzar velocidades de reloj más altas, mejoró el rendimiento de las instrucciones (3 + rama frente a 2 + rama por ciclo) para compensar una mayor latencia de instrucción, reemplazó un caché L2 externo (hasta 2 MB de conjunto asociativo de 2 vías, ruta de datos de 64 bits) con uno integrado (256 KB de conjunto asociativo de 8 vías, ruta de datos de 256 bits), admitía un caché L3 externo (hasta 2 MB de 8 vías, conjunto asociativo, ruta de datos de 64 bits) y presentó muchos otros avances arquitectónicos. La unidad AltiVec se mejoró con el 7450; en lugar de ejecutar una instrucción de permutación vectorial y una instrucción vectorial ALU (int simple, int complejo, flotante) por ciclo como el 7400/7410, el 7450 y sus seguidores Motorola/Freescale pueden ejecutar dos instrucciones vectoriales arbitrarias simultáneamente (permute, int simple, int complejo, flotante). Se introdujo con el Power Mac G4 de 733 MHz el 9 de enero de 2001. Motorola siguió con un lanzamiento provisional, el 7451, con nombre en código "Apollo 6", al igual que el 7455. Las primeras computadoras AmigaOne XE se enviaron con el procesador 7451.

Las mejoras en el diseño del 745x le dieron los apodos de G4e o G4+ , pero nunca fueron designaciones oficiales.

PowerPC 7445 y 7455

Un prototipo de EVT de muestra 7445 de 1,25 GHz en un modelo iMac G4 DVT

El PowerPC 7455 "Apollo 6" se presentó en enero de 2002. Viene con una ruta de caché en chip más amplia de 256 bits y se fabricó en el proceso HiPerMOS de 0,18 μm (180 nm) de Motorola con interconexiones de cobre y SOI . Fue el primer procesador de una computadora Apple en superar la marca de 1 GHz. El 7445 es el mismo chip sin la interfaz de caché L3. El 7455 se utiliza en el AmigaOne XE G4 y en el Power Mac G4 dual de 1 GHz (Quicksilver 2002)

PowerPC 7447 y 7457

El PowerPC 7447 "Apollo 7" ha sido ligeramente mejorado con respecto al 7450/55, tiene una caché L2 en el chip de 512 KB y fue fabricado en un proceso de 130 nm con SOI, por lo que consume menos energía. Tiene 58 millones de transistores. Con el 7447A, que introdujo un diodo térmico integrado así como DFS ( escalado de frecuencia dinámico ), Freescale pudo alcanzar una frecuencia ligeramente superior. [ se necesita aclaración ] El 7447B es efectivamente un 7447A con una escala de frecuencia aún mayor, con velocidades de reloj de hasta 1,7 GHz oficialmente y fácilmente hasta 2,4 GHz mediante overclocking . [2] [3] El 7457 y el 7457A tienen una interfaz de caché L3 adicional, que admite hasta 4 MB de caché L3, en comparación con los 2 MB admitidos por el 7455 y el 7450. Sin embargo, su escala de frecuencia se estancó cuando Apple decidió utilizar el 7447. (s) en lugar de los 7457(s), a pesar de que el 7457 es el sucesor del 7455 con caché L3 habilitado que Apple usaba antes.

Las únicas empresas que ofrecen el 7457 en forma de actualizaciones para Power Mac G4 , iMac G4 y Power Mac G4 Cube son Giga Designs, Sonnet Technology, Daystar Technology (utilizan el 7457 solo para actualizaciones de iMac G4) y PowerLogix. La plataforma informática Pegasos de Genesi también utiliza 7447 en su Pegasos-II/G4.

El 7457 se utiliza a menudo para reparar un módulo de CPU AmigaOne XE; [4] [5] [6] [7] algún software AmigaOS con el 7457 instalado puede confundir el AmigaOne con una computadora Pegasos II, ya que Eyetech nunca lanzó ninguna placa 7457 oficial .

Power PC 7448

El PowerPC 7448 "Apollo 8" es una evolución del PowerPC 7447B anunciado en el primer Foro Tecnológico de Freescale en junio de 2005. Las mejoras fueron una caché L2 de 1 MB más grande, un bus frontal más rápido de 200 MHz y un menor consumo de energía (18 W a 1,7 GHz). Fue fabricado en un proceso de 90 nm con interconexiones de cobre y SOI.

Los usuarios de PowerPC 7448 fueron:

e600

En 2004, Freescale cambió el nombre del núcleo G4 a e600 y cambió su enfoque de CPU generales a dispositivos SoC integrados de alta gama , e introdujo un nuevo esquema de nombres, MPC86xx. El 7448 iba a ser el último G4 puro y formó la base del nuevo núcleo e600 con una tubería de siete etapas y tres emisiones y una poderosa unidad de predicción de ramas que maneja hasta dieciséis instrucciones fuera de orden. Tiene una unidad AltiVec mejorada capaz de ejecución limitada fuera de orden y un caché L2 de 1 MB.

Lista de dispositivos

Esta lista es una lista completa de diseños conocidos basados ​​en G4 (excluidos los diseños centrales e600 más nuevos). Las imágenes son ilustraciones y no están a escala.

Ver también

Referencias

  1. ^ "Motorola e IBM revelan planes de PowerPC".
  2. ^ BOLETÍN DE PRODUCTO FREESCALE 11161
  3. ^ Microprocesador MC7447A/B/C MOS-13 HiP7SOI 41 - L25S / 42 - L25S / 53 - L25S / 55 - L25S / 58 - L25S Informe de calificación
  4. ^ "IntuitionBase: su guía para AmigaOS4.x y AmigaOne". www.intuitionbase.com .
  5. ^ "AmigaOne XE, ¿el manual cita vCore incorrecto? [Foros - AmigaOS4] - El sitio web de Amigans". www.amigans.net .
  6. ^ "Nueva web corporativa de ACube Systems" (Nota de prensa). Bassano del Grappa, Italia: ACube. 24 de febrero de 2007 . Consultado el 12 de junio de 2014 .
  7. ^ "ACube Systems: página web de la empresa". Amiga-News.de. 25 de febrero de 2007 . Consultado el 11 de junio de 2014 .
  8. ^ "Instalación y configuración del motor de procesamiento de red y del motor de servicios de red: descripción general de NPE-G2 [enrutadores Cisco de la serie 7200]". Cisco .
  9. ^ "Enrutador Cisco 7201". Cisco .
  10. ^ "XPedite6244 | Módulo AMC NXP MPC7448".
  11. ^ "C104 PowerPC® MPC7448 CompactPCI SBC | Soluciones COTS resistentes de Aitech". www.rugged.com . Archivado desde el original el 31 de julio de 2016.
  12. ^ http://static6.arrow.com/aropdfconversion/ad4aa5d5f0e38c4028118cabe7ce2d17a41e7530/pmppc7448%20ds.pdf [ URL desnuda PDF ]