stringtranslate.com

Sistema en un chip

Sistema Apple M1 en un chip
Un sistema en un chip de Broadcom en una Raspberry Pi

Un sistema en un chip o sistema en chip ( SoC / ˌ ˈ ɛ s s / ; pl. SoCs / ˌ ˈ ɛ s s z / ) es un circuito integrado que integra la mayoría o todos los componentes de una computadora . u otro sistema electrónico . Estos componentes casi siempre incluyen una unidad central de procesamiento (CPU) en el chip , interfaces de memoria , dispositivos e interfaces de entrada/salida e interfaces de almacenamiento secundario , a menudo junto con otros componentes como módems de radio y una unidad de procesamiento de gráficos (GPU), todo en un sustrato único o microchip. [1] Los SoC pueden contener funciones de procesamiento de señales digitales y también analógicas , de señal mixta y, a menudo, de radiofrecuencia (de lo contrario, se puede considerar en un procesador de aplicaciones discreto).

Los SoC de mayor rendimiento a menudo se combinan con chips de memoria y almacenamiento secundario dedicados y físicamente separados (como LPDDR y eUFS o eMMC , respectivamente), que pueden colocarse encima del SoC en lo que se conoce como configuración de paquete en paquete (PoP). , o colocarse cerca del SoC. Además, los SoC pueden utilizar módems inalámbricos independientes . [2]

Un SoC integra un microcontrolador , un microprocesador o quizás varios núcleos de procesador con periféricos como una GPU , módems de radio de red Wi-Fi y celular , y/o uno o más coprocesadores . De manera similar a cómo un microcontrolador integra un microprocesador con circuitos periféricos y memoria, se puede considerar que un SoC integra un microcontrolador con periféricos aún más avanzados . Para obtener una descripción general de la integración de componentes del sistema, consulte integración del sistema .

En comparación con una arquitectura de múltiples chips, un SoC con funcionalidad equivalente tendrá un consumo de energía reducido , así como un área de matriz semiconductora más pequeña. Esto tiene el costo de una menor reemplazabilidad de los componentes. Por definición, los diseños de SoC están total o casi completamente integrados en diferentes módulos de componentes . Por estas razones, ha habido una tendencia general hacia una integración más estrecha de componentes en la industria del hardware informático , en parte debido a la influencia de los SoC y las lecciones aprendidas de los mercados de informática móvil e integrada.

Los SoC son muy comunes en los mercados de informática móvil (como en dispositivos inteligentes como teléfonos inteligentes y tabletas ) y de informática de punta . [3] [4]

Tipos

Sistema basado en microcontrolador en un chip.

En general, existen tres tipos distinguibles de SoC:

Aplicaciones

Los SoC se pueden aplicar a cualquier tarea informática. Sin embargo, normalmente se utilizan en informática móvil como tabletas, teléfonos inteligentes, relojes inteligentes y netbooks, así como en sistemas integrados y en aplicaciones donde antes se utilizaban microcontroladores .

Sistemas embebidos

Donde antes solo se podían utilizar microcontroladores, los SoC están adquiriendo importancia en el mercado de sistemas integrados. Una integración más estrecha del sistema ofrece mayor confiabilidad y tiempo medio entre fallas , y los SoC ofrecen funcionalidad y potencia informática más avanzadas que los microcontroladores. [5] Las aplicaciones incluyen aceleración de IA , visión artificial integrada , [6] recopilación de datos , telemetría , procesamiento de vectores e inteligencia ambiental . A menudo, los SoC integrados se dirigen a los mercados de Internet de las cosas , multimedia, redes, telecomunicaciones y computación de vanguardia .

Informática móvil

Sistema en un chip AMD Élan SC450 en Nokia 9000 Communicator

Los SoC basados ​​en informática móvil siempre incluyen procesadores, memorias, cachés en chip , capacidades de redes inalámbricas y, a menudo, hardware y firmware de cámaras digitales . Con tamaños de memoria cada vez mayores, los SoC de gama alta a menudo no tendrán memoria ni almacenamiento flash y, en su lugar, la memoria y la memoria flash se colocarán justo al lado o encima ( paquete sobre paquete ) del SoC. [7] Algunos ejemplos de SoC de informática móvil incluyen:

Computadoras personales

En 1992, Acorn Computers produjo la gama de computadoras personales A3010, A3020 y A4000 con el SoC ARM250. Combinó el procesador Acorn ARM2 original con un controlador de memoria (MEMC), un controlador de video (VIDC) y un controlador de E/S (IOC). En las computadoras anteriores con tecnología Acorn ARM , estos eran cuatro chips discretos. El chip ARM7500 era su SoC de segunda generación, basado en los controladores ARM700, VIDC20 e IOMD, y tenía amplias licencias en dispositivos integrados como decodificadores, así como en computadoras personales Acorn posteriores.

Los fabricantes de tabletas y portátiles han aprendido lecciones de los mercados de sistemas integrados y teléfonos inteligentes sobre el menor consumo de energía, un mejor rendimiento y confiabilidad gracias a una integración más estrecha de los módulos de hardware y firmware , y LTE y otras comunicaciones de redes inalámbricas integradas en chips ( controladores de interfaz de red integrados ). [10]

Estructura

Un SoC consta de unidades funcionales de hardware , incluidos microprocesadores que ejecutan código de software , así como un subsistema de comunicaciones para conectar, controlar, dirigir e interconectar entre estos módulos funcionales.

Componentes funcionales

Núcleos del procesador

Un SoC debe tener al menos un núcleo de procesador , pero normalmente un SoC tiene más de un núcleo. Los núcleos del procesador pueden ser un microcontrolador , un microprocesador (μP), [11] un procesador de señal digital (DSP) o un núcleo de procesador de conjunto de instrucciones específicas de la aplicación (ASIP). [12] Los ASIP tienen conjuntos de instrucciones que están personalizados para un dominio de aplicación y diseñados para ser más eficientes que las instrucciones de propósito general para un tipo específico de carga de trabajo. Los SoC multiprocesador tienen más de un núcleo de procesador por definición. La arquitectura ARM es una opción común para los núcleos de procesador SoC porque algunos núcleos con arquitectura ARM son procesadores de software especificados como núcleos IP . [11]

Memoria

Los SoC deben tener bloques de memoria semiconductores para realizar su cálculo, al igual que los microcontroladores y otros sistemas integrados . Dependiendo de la aplicación, la memoria SoC puede formar una jerarquía de memoria y una jerarquía de caché . En el mercado de la informática móvil, esto es común, pero en muchos microcontroladores integrados de bajo consumo no es necesario. Las tecnologías de memoria para SoC incluyen memoria de solo lectura (ROM), memoria de acceso aleatorio (RAM), ROM programable borrable eléctricamente ( EEPROM ) y memoria flash . [11] Como en otros sistemas informáticos, la RAM se puede subdividir en RAM estática (SRAM) relativamente más rápida pero más cara y RAM dinámica (DRAM) más lenta pero más barata . Cuando un SoC tiene una jerarquía de caché , la SRAM generalmente se usará para implementar los registros del procesador y las cachés integradas de los núcleos , mientras que la DRAM se usará para la memoria principal . La "memoria principal" puede ser específica de un solo procesador (que puede ser de múltiples núcleos ) cuando el SoC tiene múltiples procesadores ; en este caso es memoria distribuida y debe enviarse a través de § Comunicación entre módulos en el chip para que un dispositivo diferente pueda acceder a ella. procesador. [12] Para obtener más información sobre los problemas de la memoria de multiprocesamiento, consulte coherencia de caché y latencia de memoria .

Interfaces

Los SoC incluyen interfaces externas , normalmente para protocolos de comunicación . A menudo se basan en estándares de la industria como USB , FireWire , Ethernet , USART , SPI , HDMI , I²C , CSI , etc. Estas interfaces diferirán según la aplicación prevista. También se pueden admitir protocolos de redes inalámbricas como Wi-Fi , Bluetooth , 6LoWPAN y comunicación de campo cercano .

Cuando es necesario, los SoC incluyen interfaces analógicas que incluyen convertidores de analógico a digital y de digital a analógico , a menudo para el procesamiento de señales . Estos pueden interactuar con diferentes tipos de sensores o actuadores , incluidos transductores inteligentes . Pueden interactuar con módulos o escudos específicos de la aplicación. [nb 1] O pueden ser internos al SoC, como si un sensor analógico está integrado en el SoC y sus lecturas deben convertirse a señales digitales para el procesamiento matemático.

Procesadores de señales digitales

Los núcleos de procesador de señal digital (DSP) suelen incluirse en los SoC. Realizan operaciones de procesamiento de señales en SoC para sensores , actuadores , recopilación de datos , análisis de datos y procesamiento multimedia. Los núcleos DSP suelen presentar arquitecturas de conjuntos de instrucciones de palabra de instrucción muy larga (VLIW) e instrucción única y datos múltiples (SIMD) y, por lo tanto, son altamente susceptibles de explotar el paralelismo a nivel de instrucción a través del procesamiento paralelo y la ejecución superescalar . [12] : 4  núcleos SP suelen incluir instrucciones específicas de la aplicación y, como tales, suelen ser procesadores de conjunto de instrucciones específicas de la aplicación (ASIP). Estas instrucciones específicas de la aplicación corresponden a unidades funcionales de hardware dedicadas que calculan esas instrucciones.

Las instrucciones DSP típicas incluyen acumulación de multiplicación , transformada rápida de Fourier , suma múltiple fusionada y convoluciones .

Otro

Al igual que con otros sistemas informáticos, los SoC requieren fuentes de temporización para generar señales de reloj , controlar la ejecución de las funciones del SoC y proporcionar un contexto de tiempo para las aplicaciones de procesamiento de señales del SoC, si es necesario. Las fuentes de tiempo populares son los osciladores de cristal y los bucles de fase bloqueada .

Periféricos SoC que incluyen contadores , temporizadores en tiempo real y generadores de reinicio de encendido . Los SoC también incluyen reguladores de voltaje y circuitos de administración de energía .

Comunicación entre módulos

Los SoC comprenden muchas unidades de ejecución . Estas unidades a menudo deben enviar datos e instrucciones de un lado a otro. Debido a esto, todos los SoC, excepto los más triviales, requieren subsistemas de comunicaciones . Originalmente, al igual que con otras tecnologías de microcomputadoras , se utilizaban arquitecturas de bus de datos , pero recientemente los diseños basados ​​en redes de intercomunicación dispersas conocidas como redes en chip (NoC) han adquirido importancia y se prevé que superen a las arquitecturas de bus para el diseño de SoC en un futuro próximo. . [13]

Comunicación basada en bus

Históricamente, un bus informático global compartido normalmente conectaba los diferentes componentes, también llamados "bloques" del SoC. [13] Un bus muy común para las comunicaciones SoC es el estándar de arquitectura de bus de microcontrolador avanzado ( AMBA ) libre de regalías de ARM.

Los controladores de acceso directo a la memoria enrutan datos directamente entre interfaces externas y la memoria SoC, sin pasar por la CPU o la unidad de control , aumentando así el rendimiento de datos del SoC. Esto es similar a algunos controladores de dispositivos de periféricos en arquitecturas de PC con módulos de múltiples chips basados ​​en componentes .

El retardo de los cables no es escalable debido a la miniaturización continua , el rendimiento del sistema no aumenta con la cantidad de núcleos conectados, la frecuencia operativa del SoC debe disminuir con cada núcleo adicional conectado para que la energía sea sostenible y los cables largos consumen grandes cantidades de energía eléctrica. Estos desafíos son prohibitivos para soportar sistemas de muchos núcleos en un chip. [13] : xiii 

Red en un chip

A finales de la década de 2010, surgió una tendencia de que los SoC implementaran subsistemas de comunicaciones en términos de una topología similar a una red en lugar de protocolos basados ​​en bus . La tendencia hacia más núcleos de procesador en SoC ha provocado que la eficiencia de la comunicación en el chip se convierta en uno de los factores clave para determinar el rendimiento y el costo general del sistema. [13] : xiii  Esto ha llevado al surgimiento de redes de interconexión con conmutación de paquetes basada en enrutadores conocidas como " redes en chip " (NoC) para superar los cuellos de botella de las redes basadas en bus. [13] : xiii 

Las redes en chip tienen ventajas que incluyen enrutamiento específico para el destino y la aplicación , una mayor eficiencia energética y una menor posibilidad de contención de buses . Las arquitecturas de red en chip se inspiran en protocolos de comunicación como TCP y el conjunto de protocolos de Internet para la comunicación en chip, [13] aunque normalmente tienen menos capas de red . Las arquitecturas de red óptimas en chip son un área actual de gran interés para la investigación. Las arquitecturas NoC varían desde topologías tradicionales de redes informáticas distribuidas , como toros , hipercubos , mallas y redes de árboles, hasta programación de algoritmos genéticos y algoritmos aleatorios , como paseos aleatorios con ramificaciones y tiempo de vida aleatorio (TTL).

Muchos investigadores de SoC consideran que las arquitecturas NoC son el futuro del diseño de SoC porque se ha demostrado que satisfacen de manera eficiente las necesidades de energía y rendimiento de los diseños de SoC. Las arquitecturas NoC actuales son bidimensionales. El diseño de circuitos integrados 2D tiene opciones de planificación limitadas a medida que aumenta el número de núcleos en los SoC, por lo que a medida que surgen los circuitos integrados tridimensionales (3DIC), los diseñadores de SoC buscan construir redes tridimensionales en chips conocidas como 3DNoC. [13]

Flujo de diseño

Flujo de diseño de SoC

Un sistema en un chip consta tanto del hardware , descrito en § Estructura, como del software que controla los núcleos, periféricos e interfaces del microcontrolador, microprocesador o procesador de señales digitales. El flujo de diseño de un SoC tiene como objetivo desarrollar este hardware y software al mismo tiempo, también conocido como codiseño arquitectónico. El flujo de diseño también debe tener en cuenta las optimizaciones (§ Objetivos de optimización) y las restricciones.

La mayoría de los SoC se desarrollan a partir de especificaciones centrales IP de componentes de hardware precalificadas para los elementos de hardware y unidades de ejecución , colectivamente "bloques", descritos anteriormente, junto con controladores de dispositivos de software que pueden controlar su funcionamiento. De particular importancia son las pilas de protocolos que controlan las interfaces estándar de la industria como USB . Los bloques de hardware se ensamblan utilizando herramientas de diseño asistido por computadora , específicamente herramientas de automatización de diseño electrónico ; Los módulos de software se integran mediante un entorno de desarrollo integrado de software .

Los componentes de SoC también suelen diseñarse en lenguajes de programación de alto nivel como C++ , MATLAB o SystemC y convertirse a diseños RTL a través de herramientas de síntesis de alto nivel (HLS) como C a HDL o flujo a HDL . [14] Los productos HLS llamados "síntesis algorítmica" permiten a los diseñadores usar C++ para modelar y sintetizar sistemas, circuitos, software y niveles de verificación, todo en un lenguaje de alto nivel comúnmente conocido por los ingenieros informáticos de una manera independiente de las escalas de tiempo, que generalmente se especifican. en HDL. [15] Otros componentes pueden seguir siendo software y compilarse e integrarse en procesadores de núcleo blando incluidos en el SoC como módulos en HDL como núcleos IP .

Una vez que se ha definido la arquitectura del SoC, cualquier elemento de hardware nuevo se escribe en un lenguaje de descripción de hardware abstracto denominado nivel de transferencia de registros (RTL), que define el comportamiento del circuito, o se sintetiza en RTL a partir de un lenguaje de alto nivel mediante síntesis de alto nivel. Estos elementos están conectados entre sí en un lenguaje de descripción de hardware para crear el diseño de SoC completo. La lógica especificada para conectar estos componentes y convertir entre interfaces posiblemente diferentes proporcionadas por diferentes proveedores se denomina lógica adhesiva .

Verificación de diseño

Se verifica la exactitud de la validación de los chips antes de enviarlos a una fundición de semiconductores . Este proceso se denomina verificación funcional y representa una parte importante del tiempo y la energía invertidos en el ciclo de vida del diseño del chip , a menudo citado como el 70%. [16] [17] Con la creciente complejidad de los chips, se están utilizando lenguajes de verificación de hardware como SystemVerilog , SystemC , e y OpenVera . Los errores encontrados en la etapa de verificación se informan al diseñador.

Tradicionalmente, los ingenieros han empleado aceleración de simulación, emulación o creación de prototipos en hardware reprogramable para verificar y depurar hardware y software para diseños de SoC antes de la finalización del diseño, lo que se conoce como tape-out . Los conjuntos de puertas programables en campo (FPGA) se prefieren para la creación de prototipos de SoC porque los prototipos de FPGA son reprogramables, permiten la depuración y son más flexibles que los circuitos integrados de aplicaciones específicas (ASIC). [18] [19]

Con alta capacidad y rápido tiempo de compilación, la aceleración y emulación de simulación son tecnologías poderosas que brindan una amplia visibilidad de los sistemas. Sin embargo, ambas tecnologías funcionan lentamente, del orden de MHz, lo que puede ser significativamente más lento (hasta 100 veces más lento) que la frecuencia de funcionamiento del SoC. Las cajas de aceleración y emulación también son muy grandes y caras: superan el millón de dólares. [ cita necesaria ]

Los prototipos de FPGA, por el contrario, utilizan FPGA directamente para permitir a los ingenieros validar y probar en, o cerca de, la frecuencia operativa completa de un sistema con estímulos del mundo real. Se utilizan herramientas como Certus [20] para insertar sondas en el FPGA RTL que hacen que las señales estén disponibles para la observación. Esto se utiliza para depurar interacciones de hardware, firmware y software en múltiples FPGA con capacidades similares a las de un analizador lógico.

En paralelo, los elementos de hardware se agrupan y pasan por un proceso de síntesis lógica , durante el cual se aplican restricciones de rendimiento, como la frecuencia operativa y los retrasos esperados en la señal. Esto genera una salida conocida como netlist que describe el diseño como un circuito físico y sus interconexiones. Estas listas de red se combinan con la lógica adhesiva que conecta los componentes para producir la descripción esquemática del SoC como un circuito que se puede imprimir en un chip. Este proceso se conoce como lugar y ruta y precede a la grabación en caso de que los SoC se produzcan como circuitos integrados de aplicación específica (ASIC).

Objetivos de optimización

Los SoC deben optimizar el uso de energía , el área del chip , la comunicación, el posicionamiento para la localidad entre unidades modulares y otros factores. La optimización es necesariamente un objetivo de diseño de los SoC. Si la optimización no fuera necesaria, los ingenieros utilizarían una arquitectura de módulo multichip sin tener en cuenta el uso del área, el consumo de energía o el rendimiento del sistema en la misma medida.

A continuación se incluyen objetivos de optimización comunes para diseños de SoC, con explicaciones de cada uno. En general, optimizar cualquiera de estas cantidades puede ser un problema de optimización combinatoria difícil y, de hecho, puede ser NP-difícil con bastante facilidad. Por lo tanto, a menudo se requieren algoritmos de optimización sofisticados y, en algunos casos, puede resultar práctico utilizar algoritmos de aproximación o heurísticas . Además, la mayoría de los diseños de SoC contienen múltiples variables para optimizar simultáneamente , por lo que se buscan soluciones eficientes en el sentido de Pareto en el diseño de SoC. A menudo, los objetivos de optimizar algunas de estas cantidades están directamente en desacuerdo, lo que agrega aún más complejidad a la optimización del diseño de los SoC e introduce compensaciones en el diseño del sistema.

Para una cobertura más amplia de compensaciones y análisis de requisitos , consulte ingeniería de requisitos .

Objetivos

El consumo de energía

Los SoC están optimizados para minimizar la energía eléctrica utilizada para realizar las funciones del SoC. La mayoría de los SoC deben utilizar poca energía. Los sistemas SoC a menudo requieren una batería de larga duración (como los teléfonos inteligentes ), pueden pasar meses o años sin una fuente de energía mientras necesitan mantener una función autónoma y, a menudo, tienen un uso de energía limitado debido a una gran cantidad de SoC integrados conectados en red en un área. . Además, los costos de energía pueden ser altos y conservarla reducirá el costo total de propiedad del SoC. Finalmente, el calor residual proveniente del alto consumo de energía puede dañar otros componentes del circuito si se disipa demasiado calor, lo que brinda otra razón pragmática para conservar energía. La cantidad de energía utilizada en un circuito es la integral de la potencia consumida con respecto al tiempo, y la tasa promedio de consumo de energía es el producto de la corriente por el voltaje . De manera equivalente, según la ley de Ohm , la potencia es la corriente al cuadrado multiplicada por la resistencia o el voltaje al cuadrado dividido por la resistencia :

dispositivos portátilesteléfonos inteligentesdispositivos de navegación GPSrelojeslos relojes inteligentesnetbooksinformáticos móvilesEn estos dispositivos se suelen ejecutar aplicaciones multimediatransmisión de vídeoprocesamiento de imágenescomplejidad computacionalcalidadel video 3Dalta resoluciónmúltiples estándares[12] : 3 

Rendimiento por vatio

Los SoC están optimizados para maximizar la eficiencia energética en rendimiento por vatio: maximice el rendimiento del SoC teniendo en cuenta un presupuesto de uso de energía. Muchas aplicaciones, como la informática de punta , el procesamiento distribuido y la inteligencia ambiental , requieren un cierto nivel de rendimiento computacional , pero la potencia es limitada en la mayoría de los entornos de SoC.

Calor perdido

Los diseños de SoC están optimizados para minimizar la producción de calor residual en el chip. Al igual que con otros circuitos integrados , el calor generado debido a la alta densidad de potencia es el cuello de botella para una mayor miniaturización de los componentes. [21] : 1  Las densidades de potencia de los circuitos integrados de alta velocidad, en particular los microprocesadores y los SoC, se han vuelto muy desiguales. Demasiado calor residual puede dañar los circuitos y erosionar la confiabilidad del circuito con el tiempo. Las altas temperaturas y el estrés térmico impactan negativamente en la confiabilidad, la migración de estrés , la disminución del tiempo medio entre fallas , la electromigración , la unión de cables , la metaestabilidad y otras degradaciones del rendimiento del SoC con el tiempo. [21] : 2–9 

En particular, la mayoría de los SoC se encuentran en un área o volumen físico pequeño y, por lo tanto, los efectos del calor residual se agravan porque hay poco espacio para que se difunda fuera del sistema. Debido al alto número de transistores en los dispositivos modernos, a menudo es físicamente realizable un diseño con suficiente rendimiento y alta densidad de transistores a partir de procesos de fabricación , pero daría como resultado cantidades inaceptablemente altas de calor en el volumen del circuito. [21] : 1 

Estos efectos térmicos obligan a los diseñadores de SoC y otros chips a aplicar márgenes de diseño conservadores , creando dispositivos de menor rendimiento para mitigar el riesgo de fallas catastróficas . Debido al aumento de las densidades de transistores a medida que las escalas de longitud se hacen más pequeñas, cada generación de proceso produce más producción de calor que la anterior. Para agravar este problema, las arquitecturas de SoC suelen ser heterogéneas y crean flujos de calor espacialmente no homogéneos , que no pueden mitigarse eficazmente mediante una refrigeración pasiva uniforme . [21] : 1 

Rendimiento

Los SoC están optimizados para maximizar el rendimiento computacional y de comunicaciones .

Latencia

Los SoC están optimizados para minimizar la latencia de algunas o todas sus funciones. Esto se puede lograr disponiendo elementos con la proximidad y localidad adecuadas entre sí para minimizar los retrasos de interconexión y maximizar la velocidad a la que se comunican los datos entre módulos, unidades funcionales y memorias. En general, optimizar para minimizar la latencia es un problema NP completo equivalente al problema de satisfacibilidad booleano .

Para las tareas que se ejecutan en núcleos de procesador, la latencia y el rendimiento se pueden mejorar con la programación de tareas . Sin embargo, algunas tareas se ejecutan en unidades de hardware específicas de la aplicación e incluso la programación de tareas puede no ser suficiente para optimizar todas las tareas basadas en software para cumplir con las limitaciones de tiempo y rendimiento.

Metodologías

Los sistemas en chip se modelan con técnicas estándar de verificación y validación de hardware , pero se utilizan técnicas adicionales para modelar y optimizar alternativas de diseño de SoC para hacer que el sistema sea óptimo con respecto al análisis de decisiones de criterios múltiples sobre los objetivos de optimización anteriores.

Programación de tareas

La programación de tareas es una actividad importante en cualquier sistema informático con múltiples procesos o subprocesos que comparten un único núcleo de procesador. Es importante reducir la latencia y aumentar el rendimiento del software integrado que se ejecuta en los núcleos del procesador de un SoC. No todas las actividades informáticas importantes en un SoC se realizan en software que se ejecuta en procesadores en chip, pero la programación puede mejorar drásticamente el rendimiento de las tareas basadas en software y otras tareas que involucran recursos compartidos .

El software que se ejecuta en SoC a menudo programa tareas de acuerdo con la programación de la red y algoritmos de programación aleatorios .

Tubería

Las tareas de hardware y software a menudo se canalizan en el diseño del procesador . La canalización es un principio importante para acelerar la arquitectura informática . Se utilizan con frecuencia en GPU ( gráficos ) y procesadores RISC (evoluciones del clásico RISC ), pero también se aplican a tareas específicas de aplicaciones, como el procesamiento de señales digitales y manipulaciones multimedia en el contexto de los SoC. [12]

Modelado probabilístico

Los SoC a menudo se analizan mediante modelos probabilísticos , redes de colas y cadenas de Markov . Por ejemplo, la ley de Little permite modelar los estados de SoC y los buffers de NoC como procesos de llegada y analizarlos mediante variables aleatorias de Poisson y procesos de Poisson .

cadenas de markov

Los SoC suelen modelarse con cadenas de Markov , tanto en tiempo discreto como en variantes de tiempo continuo . El modelado de la cadena de Markov permite el análisis asintótico de la distribución de potencia, calor, latencia y otros factores en estado estable del SoC para permitir que las decisiones de diseño se optimicen para el caso común.

Fabricación

Los chips SoC normalmente se fabrican utilizando tecnología de semiconductores de óxido metálico (MOS). [22] Las listas de red descritas anteriormente se utilizan como base para el flujo de diseño físico ( lugar y ruta ) para convertir la intención de los diseñadores en el diseño del SoC. A lo largo de este proceso de conversión, el diseño se analiza con modelado de temporización estática, simulación y otras herramientas para garantizar que cumpla con los parámetros operativos especificados, como frecuencia, consumo y disipación de energía, integridad funcional (como se describe en el código de nivel de transferencia de registro) y electricidad. integridad.

Cuando se han rectificado todos los errores conocidos, se han vuelto a verificar y se han realizado todas las comprobaciones de diseño físico, los archivos de diseño físico que describen cada capa del chip se envían al taller de máscaras de la fundición, donde se grabará un juego completo de máscaras litográficas de vidrio. . Estos se envían a una planta de fabricación de obleas para crear los dados de SoC antes de empaquetarlos y probarlos.

Los SoC se pueden fabricar mediante varias tecnologías, que incluyen:

Los ASIC consumen menos energía y son más rápidos que los FPGA, pero no se pueden reprogramar y su fabricación es costosa. Los diseños FPGA son más adecuados para diseños de menor volumen, pero después de que se produzcan suficientes unidades, los ASIC reducen el costo total de propiedad. [23]

Los diseños de SoC consumen menos energía y tienen un costo menor y una confiabilidad mayor que los sistemas multichip que reemplazan. Con menos paquetes en el sistema, los costos de ensamblaje también se reducen.

Sin embargo, como ocurre con la mayoría de los diseños de integración a muy gran escala (VLSI), el costo total [ se necesita aclaración ] es mayor para un chip grande que para la misma funcionalidad distribuida en varios chips más pequeños, debido a los rendimientos más bajos [ se necesita aclaración ] y a los mayores niveles de no integración. -costos de ingeniería recurrentes .

Cuando no es factible construir un SoC para una aplicación particular, una alternativa es un sistema en paquete (SiP) que comprende varios chips en un solo paquete . Cuando se produce en grandes volúmenes, el SoC es más rentable que el SiP porque su embalaje es más sencillo. [24] Otra razón por la que se puede preferir SiP es que el calor residual puede ser demasiado alto en un SoC para un propósito determinado porque los componentes funcionales están demasiado juntos, y en un SiP el calor se disipará mejor de diferentes módulos funcionales ya que están físicamente más separados.

Ejemplos

Algunos ejemplos de sistemas en un chip son:

Puntos de referencia

La investigación y el desarrollo de SoC a menudo comparan muchas opciones. Se desarrollan puntos de referencia, como COSMIC, [25] para ayudar en dichas evaluaciones.

Ver también

Notas

  1. ^ En los sistemas integrados , los "escudos" son análogos a las tarjetas de expansión para PC . A menudo se colocan sobre un microcontrolador como un Arduino o una computadora de placa única como Raspberry Pi y funcionan como periféricos para el dispositivo.

Referencias

  1. ^ Shah, Agam (3 de enero de 2017). "7 mejoras deslumbrantes en teléfonos inteligentes con el chip Snapdragon 835 de Qualcomm". Mundo de la Red .
  2. Amadeo, R. (18 de febrero de 2020). "El Snapdragon X60 de Qualcomm promete módems 5G más pequeños en 2021". Ars Técnica . Conde Nast . Consultado el 17 de diciembre de 2023 .
  3. ^ Pete Bennett, EE Times . "El por qué, dónde y qué del diseño de SoC de bajo consumo". 2 de diciembre de 2004. Consultado el 28 de julio de 2015.
  4. ^ Nolan, Stephen M. "Gestión de energía para el desarrollo de sistemas en un chip (SoC) de Internet de las cosas (IoT)". Diseño y reutilización . Consultado el 25 de septiembre de 2018 .
  5. ^ "¿Es un procesador SOC de un solo chip adecuado para su proyecto integrado?". Incorporado . Consultado el 13 de octubre de 2018 .
  6. ^ "Qualcomm lanza SoC para visión integrada | Imágenes y visión artificial en Europa". www.imveurope.com . Consultado el 13 de octubre de 2018 .
  7. ^ "Desmontaje del Samsung Galaxy S10 y S10e". lo arreglo . 6 de marzo de 2019.
  8. ^ "ARM persigue a Intel con una nueva hoja de ruta de chips hasta 2020". Windows Central . Consultado el 6 de octubre de 2018 .
  9. ^ "PC siempre conectadas, portátiles 4G LTE con batería de mayor duración | Windows". www.microsoft.com . Consultado el 6 de octubre de 2018 .
  10. ^ "Módems celulares Gigabit Class LTE, 4G LTE y 5G | Qualcomm". Qualcomm . Consultado el 13 de octubre de 2018 .
  11. ^ abc Furber, Stephen B. (2000). Arquitectura de sistema en chip ARM . Harlow, Inglaterra: Addison-Wesley. ISBN 0-201-67519-6. OCLC  44267964.
  12. ^ abcde Haris Javaid; Sri Parameswaran (2014). "Sistema en chip multiprocesador canalizado para multimedia" . Saltador . ISBN 978-3-319-01113-4. OCLC  869378184.
  13. ^ abcdefg Kundu, Santanu; Chattopadhyay, Santanu (2014). Red en chip: la próxima generación de integración de sistema en chip (1ª ed.). Boca Ratón, FL: CRC Press. ISBN 978-1-4665-6527-2. OCLC  895661009.
  14. ^ "Mejores prácticas para la creación de prototipos FPGA de algoritmos MATLAB y Simulink". EEJournal . 25 de agosto de 2011 . Consultado el 8 de octubre de 2018 .
  15. ^ Bowyer, Bryan (5 de febrero de 2005). "El 'por qué' y el 'qué' de la síntesis algorítmica". Tiempos EE.UU. Consultado el 8 de octubre de 2018 .
  16. ^ EE veces . "¿La verificación es realmente del 70 por ciento?" 14 de junio de 2004. Consultado el 28 de julio de 2015.
  17. ^ "Diferencia entre verificación y validación". Clase de pruebas de software . 26 de agosto de 2013 . Consultado el 30 de abril de 2018 . En las entrevistas, la mayoría de los entrevistadores hacen preguntas sobre "¿Cuál es la diferencia entre verificación y validación?" Mucha gente usa verificación y validación indistintamente, pero ambas tienen significados diferentes.
  18. ^ Rittman, Danny (5 de enero de 2006). "Creación de prototipos en nanómetros" (PDF) . Diseño Tayden . Consultado el 7 de octubre de 2018 .
  19. ^ "Creación de prototipos FPGA para producción estructurada de ASIC para reducir costos, riesgos y TTM". Diseño y reutilización . Consultado el 7 de octubre de 2018 .
  20. ^ Brian Bailey, EE Times. "Tektronix espera revolucionar la creación de prototipos ASIC". 30 de octubre de 2012. Consultado el 28 de julio de 2015.
  21. ^ abcd Ogrenci-Memik, Seda (2015). Gestión del calor en circuitos integrados: supervisión y refrigeración en chip y a nivel de sistema . Londres, Reino Unido: Institución de Ingeniería y Tecnología. ISBN 978-1-84919-935-3. OCLC  934678500.
  22. ^ Lin, Youn-Long Steve (2007). Cuestiones esenciales en el diseño de SOC: diseño de sistemas complejos en chip. Medios de ciencia y negocios de Springer . pag. 176.ISBN _ 978-1-4020-5352-8.
  23. ^ "FPGA vs ASIC: ¿Diferencias entre ellos y cuál usar? - Centro de ayuda de Numato Lab". numato.com . 17 de julio de 2018 . Consultado el 17 de octubre de 2018 .
  24. ^ EE veces . "El gran debate: SOC versus SIP". 21 de marzo de 2005. Consultado el 28 de julio de 2015.
  25. ^ "CÓSMICO". www.ece.ust.hk. _ Consultado el 8 de octubre de 2018 .

Otras lecturas

enlaces externos