stringtranslate.com

PowerPC 400

La familia PowerPC 400 es una línea de núcleos de procesadores RISC integrados de 32 bits basados ​​en las arquitecturas de conjuntos de instrucciones PowerPC o Power ISA . Los núcleos están diseñados para adaptarse a aplicaciones especializadas que van desde microcontroladores de sistema en un chip (SoC) , dispositivos de red, circuitos integrados específicos de la aplicación (ASIC) y matrices de puertas programables en campo (FPGA) hasta decodificadores , dispositivos de almacenamiento y supercomputadoras .

Applied Micro Circuits Corporation (AMCC) compró activos relacionados con los núcleos de la familia 400 de IBM en abril de 2004 por 227 millones de dólares y ahora comercializa los procesadores bajo su propio nombre. IBM continúa desarrollando los núcleos mientras proporciona servicios de diseño y fundición en torno a los núcleos. Varios núcleos también están disponibles para licencias de OEM de IBM y Synopsys .

Variantes

PowerPC 403

El PowerPC 403, presentado en 1994, fue uno de los primeros procesadores PowerPC . Fue el primero orientado estrictamente al mercado de los sistemas integrados. Comparado con los demás procesadores PowerPC de la época ( PowerPC 601 , PowerPC 603 y PowerPC 604 ), se encontraba en el extremo inferior de la gama, careciendo de una unidad de gestión de memoria (MMU) o una unidad de coma flotante (FPU), por ejemplo. El núcleo se ofrecía para chips personalizados y en versiones preempaquetadas, incluidas versiones con MMU, con velocidades que iban de 20 a 80 MHz.

El PowerPC 403 se utiliza, entre otros dispositivos, en clientes ligeros , decodificadores , controladores RAID , conmutadores de red e impresoras. El primer TiVo utilizaba un PowerPC 403GCX de 54 MHz.

AMCC adquirió el diseño del 403 de IBM en 2004, pero decidió no comercializarlo y centrarse en los núcleos 405 y 440.

PowerPC 401

Si bien el 403 era popular, también tenía un rendimiento demasiado alto y era demasiado costoso para algunas aplicaciones, por lo que en 1996 IBM lanzó un núcleo PowerPC básico, llamado PowerPC 401. Tiene una sola emisión, una tubería de tres etapas, sin MMU o DMA y solo 2 KB de caché L1 de instrucciones y 1 KB de datos . El diseño contenía solo 85.000 transistores en total y funcionaba a hasta 100 MHz, consumiendo solo 0,1 W o menos. Las aplicaciones que utilizan el núcleo 401 van desde decodificadores y conmutadores de telecomunicaciones hasta impresoras y máquinas de fax. [1] [2]

PowerPC 405

PowerPC 405GP en un sistema Sun StorageTek SL8500
IBM STB04500 en un Dilog DT 550. Un decodificador alimentado por un SoC basado en PowerPC 405 de 252 MHz.

El PowerPC 405 se lanzó en 1998 y fue diseñado para diseños de sistemas integrados en un chip (SoC) de gama baja sensibles al precio o al rendimiento . Tiene una tubería de cinco etapas, cachés L1 de datos e instrucciones independientes de 16 KB , un bus CoreConnect , una interfaz de unidad de procesamiento auxiliar (APU) para capacidad de expansión y admite velocidades de reloj superiores a 400 MHz. El núcleo 405 se adhiere a la Power ISA v.2.03 utilizando la especificación Book III-E. Tanto AMCC como IBM están desarrollando y comercializando procesadores que utilizan núcleos 405. IBM y Synopsys también ofrecen un núcleo totalmente sintetizable. IBM ha anunciado planes para hacer que las especificaciones del núcleo PowerPC 405 estén disponibles gratuitamente para la comunidad académica y de investigación. [3]

Las aplicaciones basadas en PowerPC-405 incluyen cámaras digitales, módems, decodificadores (procesadores STB04xxx de IBM [4] ), teléfonos móviles, dispositivos GPS, impresoras, máquinas de fax, tarjetas de red , conmutadores de red, dispositivos de almacenamiento y procesadores de servicio para servidores. Se utilizan hasta dos núcleos 405 en los FPGA Virtex-II Pro y Virtex-4 de Xilinx . En 2004, Hifn compró los procesadores de red PowerNP de IBM que utilizan núcleos 405. [5] [6] [7] [8]

PlayStation 2
Las versiones posteriores de la PlayStation 2 slim utilizaron un chip PowerPC 405 que emulaba el MIPS R3000A que se utilizó como procesador de E/S en modelos anteriores. [9]
V-Dragón
La empresa china Culturecom utiliza un núcleo 405 para su procesador V-Dragon , que alimenta terminales y decodificadores Linux . El procesador V-Dragon incluye el motor de generación de caracteres multilingües (MCGE), que procesa y genera caracteres chinos directamente en la CPU. [10]
APM801xx
AppliedMicro tiene una serie de productos de sistema en chip basados ​​en el núcleo PowerPC 405, bajo un nuevo nombre: APM801xx . Estos son los productos de arquitectura de potencia más eficientes energéticamente hasta la fecha (otoño de 2010) y admiten frecuencias de hasta 800 MHz a ~1 W, o 0,3 W en reposo. Diseñados para admitir una amplia gama de aplicaciones, desde redes hasta dispositivos de almacenamiento y multimedia. [11]
Controlador en chip POWER8
El procesador POWER8 contiene un microcontrolador integrado de gestión térmica y de energía, denominado controlador en chip (OCC). Basado en un procesador PowerPC 405 con 512 KB de RAM estática (SRAM) dedicada, el OCC supervisa todo el chip. [12]

PowerPC 440

Un procesador AMCC PowerPC 440SPe de 533 MHz de una tarjeta RAID en un Apple Xserve .

El PowerPC 440, presentado en 1999, fue el primer núcleo PowerPC de IBM que incluía la extensión Book E a la especificación PowerPC. También incluía la tecnología de bus CoreConnect diseñada para ser la interfaz entre las partes internas de un dispositivo de sistema en chip (SoC) basado en PowerPC.

Se trata de un núcleo de alto rendimiento con cachés L1 de datos e instrucciones independientes de 32 KB , un pipeline de doble emisión fuera de orden de siete etapas, que admite velocidades de hasta 800 MHz y cachés L2 de hasta 256 KB. El núcleo carece de una unidad de punto flotante (FPU), pero tiene una FPU asociada de cuatro etapas que se puede incluir mediante la interfaz APU (Unidad de procesamiento auxiliar). El núcleo 440 cumple con la especificación Power ISA v.2.03 utilizando el Libro III-E.

Actualmente, Xilinx incorpora uno o dos núcleos (dependiendo del miembro de la familia) en el FPGA Virtex-5 FXT.

Tanto AMCC como IBM están desarrollando y comercializando procesadores autónomos que utilizan 440 núcleos. IBM y Synopsys también ofrecen núcleos totalmente sintetizados.

BRE440 Radiator SoC duro
Broad Reach Engineering ha utilizado el núcleo sintetizado IBM 440 para construir un SoC integrado reforzado contra la radiación que incluye varios periféricos (dos MAC Ethernet, PCI, controladores de memoria, controladores DMA, EDAC y SIO), 32 KB de caché L1 y 256 KB de caché L2. Este dispositivo se construyó utilizando el proceso de endurecimiento por radiación Honeywell HX5000 a 150 nm. El BRE440 funciona a velocidades de reloj que van desde decenas de MHz hasta 150 MHz.
CDOC de calidad
QCDOC es una supercomputadora personalizada diseñada para resolver problemas pequeños pero extremadamente exigentes en términos computacionales en física cuántica . Utiliza circuitos integrados de aplicación específica (ASIC) personalizados basados ​​en 440 para obtener un rendimiento máximo de aproximadamente 10 TFLOPS.
Gen azul/L
Se utilizan 440 núcleos duales en los procesadores que impulsan la supercomputadora Blue Gene/L de IBM , que hasta junio de 2008 ocupaba el primer puesto en la lista de las 500 mejores supercomputadoras del mundo, con un rendimiento máximo de casi 500 teraFLOPS en 2008.
Estrella de mar
El núcleo 440 también se utiliza en las supercomputadoras Cray XT3 , XT4 y XT5 , donde sus procesadores de comunicación SeaStar, SeaStar2 y SeaStar2+ acoplan estrechamente la interfaz de memoria HyperTransport con el enrutamiento a otros nodos en clústeres de supercomputadoras. El dispositivo SeaStar proporciona una conexión de 6,4 GB/s a los procesadores basados ​​en Opteron a través de HyperTransport (juntos forman un elemento de procesamiento, PE), así como seis enlaces de 7,6 GB/s a PE vecinos. SeaStar2+ ofrece un ancho de banda intranodo de 9,6 GB/s y una funcionalidad de corrección de errores para interceptar errores en ruta entre nodos de computación.
AMCC460
Los PowerPC 460EX y 460GT de AMCC son, a pesar de su nombre, procesadores con 440 núcleos. [13] Están disponibles entre 0,6 y 1,2 GHz y tienen controladores integrados para DDR o DDR2 SDRAM, USB 2.0, PCIe, SATA y Gigabit Ethernet.
Titán
Intrinsity diseñó el núcleo Titan , ahora obsoleto , para AppliedMicro desde cero utilizando la especificación de núcleo PowerPC 440. AppliedMicro utilizó el núcleo Titan en sus productos de sistema en chip de alto rendimiento de la familia APM832xx, pero estas piezas nunca llegaron al mercado.
Virtex-5 FXT
En su línea de productos FPGA Virtex-5 FXT , Xilinx incorpora hasta dos núcleos PPC440. El PPC440 integrado tiene una frecuencia máxima de 550 MHz y se conecta a la estructura FPGA circundante a través de un conmutador de barra cruzada especial, lo que aumenta el rendimiento del sistema de la familia Virtex-5 FXT más de 2,6 veces en comparación con el PPC405 integrado de la familia Virtex-4 FX.
Sistema operativo LSI SAS
LSI utiliza el núcleo PowerPC 440 en varios de sus chips controladores SAS , incluida la variante SAS2008 ampliamente utilizada. [14]
CPU Acalis872
El Acalis CPU872 es un chip SoC de seguridad altamente especializado fabricado por CPU Tech. Está diseñado para sistemas altamente sensibles y de misión crítica, como aplicaciones militares. Tiene disposiciones para evitar la manipulación y la ingeniería inversa y se fabrica en la Trusted Foundry de IBM, una empresa de alta seguridad. Tiene memoria DRAM integrada , núcleos duales 440 con FPU de precisión dual y unidades de computación auxiliares que brindan aceleración y protección para comunicaciones, algoritmos complejos y sincronización entre núcleos. [15]

PowerPC 450

El núcleo de procesamiento del superordenador Blue Gene/P está diseñado y fabricado por IBM. Es muy similar al PowerPC 440, pero se han revelado pocos detalles.

Gen azul/P
El procesador Blue Gene/P consta de cuatro núcleos PowerPC 450 que funcionan a 850 MHz y alcanzan un total de 13,6 gigaflops . IBM afirma que su diseño es muy eficiente energéticamente en comparación con otros procesadores de supercomputadoras. [16]

PowerPC 460

Introducidos en 2006, los núcleos 460 son similares a los 440 pero alcanzan los 1,4 GHz, están desarrollados teniendo en mente aplicaciones multinúcleo y tienen 24 instrucciones de procesamiento de señal digital (DSP) adicionales. Los núcleos están diseñados para consumir poca energía pero ofrecer un alto rendimiento y se espera que el 464-H90 consuma solo 0,53 W a 1 GHz. El núcleo 460 cumple con la especificación Power ISA v.2.03 y utiliza la especificación Book III-E.

Microaplicado

PowerPC 470

El núcleo 470 integrado y personalizable, que cumple con el Power ISA v2.05 Book III-E, fue diseñado por IBM junto con LSI e implementado en el PowerPC 476FP en 2009. [20] El núcleo 476FP tiene una caché L1 de 32/32 kB, unidades de enteros duales y una FPU de doble precisión con capacidad SIMD que maneja instrucciones DSP . Emite 1,6 W a 1,6 GHz en un proceso de fabricación de 45 nm. La tubería de 9 etapas fuera de servicio y 5 problemas maneja velocidades de hasta 2 GHz, admite el bus PLB6, hasta 1 MB de caché L2 y hasta 16 núcleos en configuraciones SMP .

Véase también

Referencias

  1. ^ El PowerPC se vuelve para el consumidor Archivado el 26 de mayo de 2006 en Wayback Machine , BYTE, septiembre de 1996
  2. ^ Decodificador basado en 401, IBM
  3. ^ "El núcleo PowerPC 405 de IBM está disponible para la comunidad académica y de investigación cualificada". Power.org . Archivado desde el original el 24 de mayo de 2007. Consultado el 14 de noviembre de 2006 .
  4. ^ "Controladores integrados de decodificadores STB043xx - STB045xx - IBM Microelectronics".
  5. ^ http://www.hifn.com/uploadedFiles/Company/News_and_Events/Press_Releases/20040105.pdf [ URL básica PDF ]
  6. ^ Manual del usuario del núcleo del procesador integrado PPC405-S Archivado el 1 de marzo de 2012 en Wayback Machine
  7. ^ Halfhill, Tom R. (12 de julio de 1999). "PowerPC 405GP tiene bus CoreConnect". Informe sobre microprocesadores .
  8. ^ Halfhill, Tom R. (11 de noviembre de 2002). "IBM PowerPC 405EP amplía su familia". Microprocessor Report .
  9. ^ "IOP/Deckard". Wiki de desarrollo de PS2 .
  10. ^ "Culturecom". Archivado desde el original el 30 de septiembre de 2007. Consultado el 27 de septiembre de 2007 .
  11. ^ "La familia de procesadores de bajo consumo APM801xx de AppliedMicro apunta a dispositivos de consumo con capacidad de vídeo de 1 vatio". Diseño de computación integrada . Archivado desde el original el 25 de mayo de 2010. Consultado el 16 de agosto de 2010 .
  12. ^ Todd Rosedahl (20 de diciembre de 2014). "El código de firmware OCC ahora es de código abierto". openpowerfoundation.org . Consultado el 27 de diciembre de 2014 .
  13. ^ "Procesador integrado PowerPC 460EX" (PDF) .
  14. ^ "Controlador de E/S SAS2008".
  15. ^ "CPU Tech lanza el procesador Acalis(R) CPU872 MultiCore PowerPC(R) con DRAM integrada y protección contra manipulaciones - Business Wire" (Comunicado de prensa). 28 de abril de 2008.
  16. ^ "Sala de prensa de IBM - 26 de junio de 2007 IBM triplica el rendimiento de la supercomputadora más rápida y de mayor eficiencia energética del mundo - Estados Unidos". Archivado desde el original el 30 de mayo de 2012.
  17. ^ "Núcleos del microprocesador IBM PowerPC 460". Archivado desde el original el 30 de mayo de 2015. Consultado el 16 de noviembre de 2008 .
  18. ^ La CPU ARM protege el procesador APM – The Liney Group
  19. ^ Applied Micro añade un núcleo ARM a un procesador de seguridad reducida – EETimes
  20. ^ "Sala de prensa de IBM - 15 de septiembre de 2009 IBM anuncia el procesador integrado de mayor rendimiento para diseños de sistemas en chip - Estados Unidos". IBM .
  21. ^ "El nuevo procesador de comunicaciones Axxia de LSI presenta una arquitectura multinúcleo asimétrica y un rendimiento revolucionario". LSI Corporation. 9 de febrero de 2010.
  22. ^ "El proveedor de IP de China planea la familia Power". EETimes .

Lectura adicional

Enlaces externos