stringtranslate.com

Power PC 400

La familia PowerPC 400 es una línea de núcleos de procesador RISC integrados de 32 bits basados ​​en las arquitecturas de conjunto de instrucciones PowerPC o Power ISA . Los núcleos están diseñados para encajar dentro de aplicaciones especializadas que van desde microcontroladores de sistema en un chip (SoC) , dispositivos de red, circuitos integrados de aplicaciones específicas (ASIC) y matrices de puertas programables en campo (FPGA) hasta decodificadores , almacenamiento Dispositivos y supercomputadoras .

Applied Micro Circuits Corporation (AMCC) compró activos relacionados con los núcleos de la familia 400 de IBM en abril de 2004 por 227 millones de dólares y ahora comercializa los procesadores bajo su propio nombre. IBM continúa evolucionando los núcleos mientras proporciona servicios de diseño y fundición en torno a los núcleos. Varios núcleos también están disponibles para licencias por parte de OEM de IBM y Synopsys .

Variantes

Power PC 403

Introducido en 1994, el PowerPC 403 fue uno de los primeros procesadores PowerPC . Fue el primero dirigido estrictamente al mercado integrado. En comparación con otros procesadores PowerPC de la época ( PowerPC 601 , PowerPC 603 y PowerPC 604 ), estaba en el extremo inferior y carecía de unidad de gestión de memoria (MMU) o unidad de punto flotante (FPU), por ejemplo. El núcleo se ofreció para chips personalizados y en versiones preempaquetadas, incluidas versiones con MMU, velocidades que oscilan entre 20 y 80 MHz.

El PowerPC 403 se utiliza, entre otros dispositivos, en clientes ligeros , decodificadores , controladores RAID , conmutadores de red e impresoras. El primer TiVo utilizó un PowerPC 403GCX de 54 MHz.

AMCC adquirió el diseño del 403 de IBM en 2004, pero decidió no comercializarlo y se centró en los núcleos 405 y 440.

Power PC 401

Si bien el 403 era popular, también tenía un rendimiento demasiado alto y demasiado costoso para algunas aplicaciones, por lo que en 1996 IBM lanzó un núcleo PowerPC básico, llamado PowerPC 401. Tiene un solo problema, un proceso de tres etapas, sin MMU ni DMA. y sólo cachés L1 de 2 KB de instrucciones y 1 KB de datos . El diseño contenía sólo 85.000 transistores en total y funcionaba a hasta 100 MHz, consumiendo sólo 0,1 W o menos. Las aplicaciones que utilizan el núcleo 401 van desde decodificadores y conmutadores de telecomunicaciones hasta impresoras y máquinas de fax. [1] [2]

Power PC 405

PowerPC 405GP en un Sun StorageTek SL8500
IBM STB04500 en un Dilog DT 550 . Un decodificador alimentado por un SoC basado en PowerPC 405 de 252 MHz.

El PowerPC 405 se lanzó en 1998 y fue diseñado para diseños de sistemas integrados en un chip (SoC) de gama baja sensibles al precio o al rendimiento. Tiene una canalización de cinco etapas, cachés L1 de datos e instrucciones de 16 KB separados , un bus CoreConnect , una interfaz de unidad de procesamiento auxiliar (APU) para capacidad de expansión y admite velocidades de reloj superiores a 400 MHz. El núcleo 405 se adhiere a Power ISA v.2.03 utilizando la especificación Libro III-E. Tanto AMCC como IBM están desarrollando y comercializando procesadores que utilizan 405 núcleos. IBM y Synopsys también ofrecen un núcleo totalmente sintetizable. IBM ha anunciado planes para poner las especificaciones del núcleo PowerPC 405 a disposición de la comunidad académica y de investigación de forma gratuita. [3]

Las aplicaciones basadas en PowerPC-405 incluyen cámaras digitales, módems, decodificadores (procesadores STB04xxx de IBM [4] ), teléfonos móviles, dispositivos GPS, impresoras, máquinas de fax, tarjetas de red , conmutadores de red, dispositivos de almacenamiento y procesadores de servicios para servidores. En los FPGA Xilinx Virtex-II Pro y Virtex-4 se utilizan hasta dos núcleos 405 . En 2004, Hifn compró los procesadores de red PowerNP de IBM que utilizan 405 núcleos. [5] [6] [7] [8]

Playstation 2
Las versiones posteriores de la PlayStation 2 slim utilizaron un chip PowerPC 405 que emulaba el MIPS R3000A que se utilizaba como procesador de E/S en modelos anteriores. [9]
V-Dragón
La empresa china Culturecom utiliza un núcleo 405 para su procesador V-Dragon que alimenta terminales y decodificadores Linux . El procesador V-Dragon incluye el motor de generación de caracteres multilingües (MCGE) que procesa y genera caracteres chinos directamente en la CPU. [10]
APM801xx
AppliedMicro tiene una serie de productos de sistema en chip basados ​​en el núcleo PowerPC 405, bajo un nuevo nombre: APM801xx . Estos son los productos Power Architecture con mayor eficiencia energética hasta la fecha (otoño de 2010) y admiten frecuencias de hasta 800 MHz a ~1 W, o 0,3 W en inactivo. Diseñado para admitir una amplia gama de aplicaciones, desde redes hasta dispositivos multimedia y de almacenamiento. [11]
Controlador en chip POWER8
El procesador POWER8 contiene un microcontrolador integrado de gestión térmica y de energía en chip, llamado controlador en chip (OCC). Basado en un procesador PowerPC 405 con 512 KB de RAM estática dedicada (SRAM), OCC monitorea todo el chip. [12]

Power PC 440

Un procesador AMCC PowerPC 440SPe a 533 MHz procedente de una tarjeta RAID en un Apple Xserve .

Introducido en 1999, el PowerPC 440 fue el primer núcleo PowerPC de IBM que incluyó la extensión Book E a la especificación PowerPC. También incluyó la tecnología de bus CoreConnect diseñada para ser la interfaz entre las partes dentro de un dispositivo de sistema en un chip (SoC) basado en PowerPC.

Es un núcleo de alto rendimiento con cachés L1 de instrucciones y datos separados de 32 KB , una tubería de doble emisión desordenada de siete etapas, que admite velocidades de hasta 800 MHz y cachés L2 de hasta 256 KB. El núcleo carece de una unidad de punto flotante (FPU) pero tiene una FPU asociada de cuatro etapas que se puede incluir mediante la interfaz APU (Unidad de procesamiento auxiliar). El núcleo 440 se adhiere a Power ISA v.2.03 utilizando la especificación Libro III-E.

Actualmente Xilinx incorpora uno o dos núcleos (dependiendo del miembro de la familia) en la FPGA Virtex-5 FXT.

Tanto AMCC como IBM están desarrollando y comercializando procesadores independientes que utilizan 440 núcleos. IBM y Synopsys también ofrecen núcleos totalmente sintetizados.

BRE440 Radiador SOC duro
Broad Reach Engineering ha utilizado el núcleo sintetizado IBM 440 para construir un SoC integrado reforzado contra la radiación que incluye varios periféricos (dos MAC Ethernet, PCI, controladores de memoria, controladores DMA, EDAC y SIO), 32 KB de caché L1 y 256 KB de Caché L2. Este dispositivo se construyó utilizando el proceso duro de rad Honeywell HX5000 a 150 nm. El BRE440 funciona a velocidades de reloj que van desde decenas de MHz hasta 150 MHz.
QCDOC
QCDOC es una supercomputadora personalizada construida para resolver problemas pequeños pero extremadamente exigentes desde el punto de vista computacional en física cuántica . Utiliza ASIC personalizados basados ​​en 440 para obtener un rendimiento máximo de aproximadamente 10 TFLOPS.
Gen azul/L
Se utilizan 440 núcleos duales en los procesadores que alimentan la supercomputadora Blue Gene/L de IBM , que hasta junio de 2008 ocupaba el puesto número uno en la lista de las 500 mejores supercomputadoras del mundo, con un rendimiento máximo de casi 500 teraFLOPS en 2008.
Estrella de mar
El núcleo 440 también se utiliza en las supercomputadoras Cray XT3 , XT4 y XT5 , donde sus procesadores de comunicación SeaStar, SeaStar2 y SeaStar2+ combinan estrechamente la interfaz de memoria HyperTransport con el enrutamiento a otros nodos en grupos de supercomputadoras. El dispositivo SeaStar proporciona una conexión de 6,4 GB/s a los procesadores basados ​​en Opteron a través de HyperTransport (juntos forman un elemento de procesamiento, PE), así como seis enlaces de 7,6 GB/s a los PE vecinos. SeaStar2+ ofrece un ancho de banda intranodo de 9,6 GB/s y funcionalidad de corrección de errores para interceptar errores en ruta entre nodos informáticos.
AMCC 460
Los PowerPC 460EX y 460GT de AMCC son, a pesar de su nombre, procesadores con núcleo 440. [13] Están disponibles en 0,6 a 1,2 GHz y tienen controladores integrados para DDR o DDR2 SDRAM, USB 2.0, PCIe, SATA y Gigabit Ethernet.
Titán
Intrinsity diseñó el ahora desaparecido núcleo Titan para AppliedMicro desde cero utilizando la especificación del núcleo PowerPC 440. AppliedMicro utilizó el núcleo Titan en su sistema de alto rendimiento de la familia APM832xx en productos de chip, pero estas piezas nunca llegaron al mercado.
Virtex-5 FXT
En su línea de productos FPGA Virtex-5 FXT , Xilinx incorpora hasta dos núcleos PPC440. El PPC440 integrado tiene una frecuencia máxima de 550 MHz y se conecta al tejido FPGA circundante a través de un interruptor de barra transversal especial, lo que aumenta el rendimiento del sistema de la familia Virtex-5 FXT más de 2,6 veces en comparación con el PPC405 integrado de la familia Virtex-4 FX.
LSI SAS
LSI utiliza el núcleo PowerPC 440 en varios de sus chips controladores SAS , incluida la variante SAS2008, ampliamente utilizada. [14]
Acalis CPU872
El Acalis CPU872 es un chip SoC de seguridad altamente especializado creado por CPU Tech. Está diseñado para sistemas altamente sensibles y de misión crítica, como aplicaciones militares. Tiene disposiciones para evitar la manipulación y la ingeniería inversa y se fabrica en la altamente segura Trusted Foundry de IBM. Tiene DRAM integrada , 440 núcleos duales con FPU de doble precisión y unidades informáticas auxiliares que brindan aceleración y protección para las comunicaciones, algoritmos complejos y sincronización entre núcleos. [15]

Power PC 450

El núcleo de procesamiento del superordenador Blue Gene/P está diseñado y fabricado por IBM. Es muy similar al PowerPC 440 pero se revelan pocos detalles.

Gen azul/P
El procesador Blue Gene/P consta de cuatro núcleos PowerPC 450 que funcionan a 850 MHz y alcanzan 13,6 gigaflops en total. IBM afirma tener un diseño muy eficiente desde el punto de vista energético en comparación con otros procesadores de supercomputadoras. [dieciséis]

Power PC 460

Introducidos en 2006, los 460 núcleos son similares a los 440 pero alcanzan los 1,4 GHz, están desarrollados teniendo en mente aplicaciones multinúcleo y tienen 24 instrucciones de procesamiento de señales digitales (DSP) adicionales. Los núcleos están diseñados para ser de bajo consumo pero de alto rendimiento y se espera que el 464-H90 consuma sólo 0,53 W a 1 GHz. El núcleo 460 se adhiere a Power ISA v.2.03 utilizando la especificación Libro III-E.

Microaplicado

Power PC 470

El núcleo 470 integrado y personalizable, que cumple con Power ISA v2.05 Book III-E, fue diseñado por IBM junto con LSI e implementado en el PowerPC 476FP en 2009. [20] El núcleo 476FP tiene caché L1 de 32/32 kB, unidades enteras duales y una FPU de doble precisión compatible con SIMD que maneja instrucciones DSP . Emitiendo 1,6 W a 1,6 GHz en un proceso de fabricación de 45 nm. La tubería de 9 etapas fuera de servicio y 5 números maneja velocidades de hasta 2 GHz, admite el bus PLB6, hasta 1 MB de caché L2 y hasta 16 núcleos en configuraciones SMP .

Ver también

Referencias

  1. ^ El PowerPC se vuelve consumidor Archivado el 26 de mayo de 2006 en Wayback Machine , BYTE, septiembre de 1996
  2. ^ Decodificador basado en 401, IBM
  3. ^ "El núcleo PowerPC 405 de IBM está disponible para la comunidad académica y de investigación calificada". Power.org . Archivado desde el original el 24 de mayo de 2007 . Consultado el 14 de noviembre de 2006 .
  4. ^ "STB043xx - Controladores integrados de decodificador STB045xx - IBM Microelectronics".
  5. ^ http://www.hifn.com/uploadedFiles/Company/News_and_Events/Press_Releases/20040105.pdf [ URL básica PDF ]
  6. ^ Manual del usuario principal del procesador integrado PPC405-S Archivado el 1 de marzo de 2012 en Wayback Machine.
  7. ^ Halfhill, Tom R. (12 de julio de 1999). "PowerPC 405GP tiene bus CoreConnect". Informe del microprocesador .
  8. ^ Halfhill, Tom R. (11 de noviembre de 2002). "IBM PowerPC 405EP amplía la familia". Informe del microprocesador .
  9. ^ "PIO/Deckard". Wiki para desarrolladores de PS2 .
  10. ^ "Culturacom". Archivado desde el original el 30 de septiembre de 2007 . Consultado el 27 de septiembre de 2007 .
  11. ^ "La familia de procesadores de bajo consumo AppliedMicro APM801xx está dirigida a dispositivos de consumo con capacidad de vídeo de 1 vatio". Diseño de computación integrada . Archivado desde el original el 25 de mayo de 2010 . Consultado el 16 de agosto de 2010 .
  12. ^ Todd Rosedahl (20 de diciembre de 2014). "El código de firmware OCC ahora es de código abierto". openpowerfoundation.org . Consultado el 27 de diciembre de 2014 .
  13. ^ "Procesador integrado PowerPC 460EX" (PDF) .
  14. ^ "Controlador de E/S SAS2008".
  15. ^ "CPU Tech lanza Acalis(R) CPU872 MultiCore PowerPC(R) con DRAM integrada y protección contra manipulaciones - Business Wire" (Presione soltar). 28 de abril de 2008.
  16. ^ "Sala de noticias de IBM - 26 de junio de 2007 IBM triplica el rendimiento de la supercomputadora más rápida y con mayor eficiencia energética del mundo - Estados Unidos".
  17. ^ "Núcleos de microprocesador IBM PowerPC 460". Archivado desde el original el 30 de mayo de 2015 . Consultado el 16 de noviembre de 2008 .
  18. ^ La CPU ARM protege el procesador APM: The Liney Group
  19. ^ Applied Micro agrega núcleo ARM en un procesador de seguridad reducido - EETimes
  20. ^ "Sala de prensa de IBM - 15 de septiembre de 2009 IBM anuncia el procesador integrado de mayor rendimiento para diseños de sistema en chip - Estados Unidos". IBM .
  21. ^ "El nuevo procesador de comunicaciones Axxia de LSI presenta una arquitectura multinúcleo asimétrica y un rendimiento innovador". Corporación LSI. 9 de febrero de 2010.
  22. ^ "El proveedor de IP de China planea la familia Power". EETimes .

Otras lecturas

enlaces externos