stringtranslate.com

PODER8

IBM Power E870 se puede configurar con hasta 80 núcleos POWER8 y 8 TB de RAM.

POWER8 es una familia de microprocesadores multinúcleo superescalar basados ​​en Power ISA , anunciado en agosto de 2013 en la conferencia Hot Chips . Los diseños están disponibles para licencia bajo la OpenPOWER Foundation , que es la primera vez que existe tal disponibilidad de los procesadores de gama más alta de IBM. [1] [2]

Los sistemas basados ​​en POWER8 estuvieron disponibles en IBM en junio de 2014. [3] Los diseños de sistemas y procesadores POWER8 realizados por otros miembros de OpenPOWER estuvieron disponibles a principios de 2015.

Diseño

POWER8 está diseñado para ser un chip masivamente multiproceso, con cada uno de sus núcleos capaz de manejar ocho subprocesos de hardware simultáneamente, para un total de 96 subprocesos ejecutados simultáneamente en un chip de 12 núcleos. El procesador utiliza grandes cantidades de cachés eDRAM dentro y fuera del chip , y los controladores de memoria en el chip permiten un ancho de banda muy alto para la memoria y las E/S del sistema. Para la mayoría de las cargas de trabajo, se dice que el chip funciona entre dos y tres veces más rápido que su predecesor, el POWER7 . [4]

Los chips POWER8 vienen en variantes de 6 o 12 núcleos; [5] [6] Cada versión se fabrica en un proceso de silicio sobre aislante (SOI) de 22 nm utilizando 15 capas de metal. La versión de 12 núcleos consta de 4,2 mil millones de transistores [7] y tiene un tamaño de 650 mm 2 , mientras que la versión de 6 núcleos tiene solo 362 mm 2 de tamaño. [3] Sin embargo, las variantes de 6 y 12 núcleos pueden tener todos o solo algunos núcleos activos, por lo que los procesadores POWER8 vienen con 4, 6, 8, 10 o 12 núcleos activados.

CAPI

Mientras que los procesadores POWER anteriores utilizan el bus GX++ para la comunicación externa, POWER8 lo elimina del diseño y lo reemplaza con el puerto CAPI (Coherent Accelerator Processor Interface) que se encuentra encima de PCI Express 3.0 . El puerto CAPI se utiliza para conectar procesadores auxiliares especializados como GPU , ASIC y FPGA . [8] [9] Las unidades conectadas al bus CAPI pueden utilizar el mismo espacio de direcciones de memoria que la CPU, reduciendo así la longitud de la ruta de cálculo. En la Conferencia de Supercomputación ACM/IEEE de 2013 , IBM y Nvidia anunciaron una asociación de ingeniería para unir estrechamente POWER8 con las GPU de Nvidia en futuros sistemas HPC , [10] y el primero de ellos se anunció como Power Systems S824L.

El 14 de octubre de 2016, IBM anunció la formación de OpenCAPI , una nueva organización para difundir la adopción de CAPI a otras plataformas. Los miembros iniciales son Google, AMD, Xilinx, Micron y Mellanox. [11]

OCC

POWER8 también contiene el llamado controlador en chip (OCC), que es un microcontrolador de gestión térmica y de energía basado en un procesador PowerPC 405 . Tiene dos motores de descarga de propósito general (GPE) y 512  KB de RAM estática integrada (SRAM) (1 KB = 1024 bytes), junto con la posibilidad de acceder a la memoria principal directamente, mientras se ejecuta un firmware de código abierto . OCC gestiona la frecuencia operativa, el voltaje, el ancho de banda de la memoria y el control térmico de POWER8 tanto para el procesador como para la memoria; Puede regular voltajes a través de 1764 reguladores de voltaje integrados (IVR) sobre la marcha. Además, el OCC se puede programar para overclockear el procesador POWER8 o para reducir su consumo de energía reduciendo la frecuencia de funcionamiento (que es similar al TDP configurable que se encuentra en algunos de los procesadores Intel y AMD). [12] [13] [14] [15]

Chip de memoria intermedia

POWER8 divide las funciones del controlador de memoria alejando algunas de ellas del procesador y acercándolas a la memoria. La lógica de programación, la gestión de energía de la memoria y el punto de decisión RAS se trasladan al llamado chip Memory Buffer (también conocido como Centaur ). [16] La descarga de ciertos procesos de memoria al chip Memory Buffer permite optimizar el acceso a la memoria, ahorra ancho de banda y permite una comunicación más rápida entre el procesador y la memoria. [17] También contiene estructuras de almacenamiento en caché para 16  MB adicionales de caché L4 por chip (hasta 128 MB por procesador) (1 MB = 1024 KB). Dependiendo de la arquitectura del sistema, los chips del búfer de memoria se colocan en los módulos de memoria (DIMM/CDIMM personalizados, por ejemplo en los modelos S824 y E880), o en la tarjeta vertical de memoria que contiene DIMM estándar (por ejemplo, en los modelos S822LC). [18]

El chip Memory Buffer está conectado al procesador mediante un enlace serie de varios carriles de alta velocidad. El canal de memoria que conecta cada chip de búfer es capaz de escribir 2 bytes y leer 1 byte a la vez. Funciona a 8  GB /s en los primeros modelos Entry, [17] luego aumentó en los modelos de gama alta y HPC a 9,6 GB/s con una latencia de 40 ns, [18] [19] [20] para un ancho de banda sostenido de 24 GB/s y 28,8 GB/s por canal respectivamente. Cada procesador tiene dos controladores de memoria con cuatro canales de memoria cada uno, y el ancho de banda máximo del procesador al búfer de memoria es de 230,4 GB/s por procesador. Dependiendo del modelo, es posible que solo esté habilitado un controlador, [17] o que solo se utilicen dos canales por controlador. [18] Para una mayor disponibilidad, el enlace proporciona aislamiento y reparación de carriles "sobre la marcha". [dieciséis]

Cada chip Memory Buffer tiene cuatro interfaces que permiten utilizar memoria DDR3 o DDR4 a 1600 MHz sin cambios en la interfaz de enlace del procesador. Los 32 canales de memoria resultantes por procesador permiten una velocidad de acceso máxima de 409,6 GB/s entre los chips Memory Buffer y los bancos DRAM. Inicialmente, el soporte se limitaba a DIMM de 16 GB, 32 GB y 64 GB, lo que permitía que el procesador direccionara hasta 1 TB. Posteriormente se anunció soporte para DIMM de 128 GB y 256 GB, [19] [21] permitiendo hasta 4 TB por procesador.

Especificaciones

El núcleo POWER8 [22] [23] tiene una caché de datos L1 de 64  KB contenida en la unidad de almacenamiento de carga y una caché de instrucciones L1 de 32 KB contenida en la unidad de búsqueda de instrucciones, junto con una caché L2 de 512 KB estrechamente integrada . En un solo ciclo, cada núcleo puede recuperar hasta ocho instrucciones, decodificar y enviar hasta ocho instrucciones, emitir y ejecutar hasta diez instrucciones y confirmar hasta ocho instrucciones. [24]

Cada núcleo POWER8 consta principalmente de las siguientes seis unidades de ejecución :

Cada núcleo tiene dieciséis canales de ejecución:

Tiene una cola de problemas más grande con entradas de 4×16, predictores de rama mejorados y puede manejar el doble de errores de caché. Cada núcleo tiene un hardware multiproceso de ocho vías y se puede dividir dinámica y automáticamente para tener uno, dos, cuatro o los ocho subprocesos activos. [1] POWER8 también agregó soporte para memoria transaccional de hardware . [26] [27] [28] IBM estima que cada núcleo es 1,6 veces más rápido que el POWER7 en operaciones de un solo subproceso.

Un procesador POWER8 es un diseño de 6 o 12 chiplets con variantes de 4, 6, 8, 10 o 12 chiplets activados, en los que un chiplet consta de un núcleo de procesamiento, 512 KB de caché SRAM L2 en un ancho de 64 bytes. bus (que es dos veces más ancho que su predecesor [1] ) y 8 MB de caché eDRAM L3 por chiplet que se puede compartir entre todos los chiplets. [5] Por lo tanto, un procesador de seis chiplets tendría 48 MB de caché eDRAM L3, mientras que un procesador de 12 chiplets tendría un total de 96 MB de caché eDRAM L3. El chip también puede utilizar hasta 128 MB de caché eDRAM L4 fuera del chip utilizando chips complementarios Centaur. Los controladores de memoria en chip pueden manejar 1 TB de RAM y un ancho de banda de memoria sostenido de 230 GB/s. Los controladores PCI Express integrados pueden manejar 48 GB/s de E/S a otras partes del sistema. Los núcleos están diseñados para funcionar a velocidades de reloj entre 2,5 y 5 GHz. [15]

Los chips de seis núcleos están montados en pares en módulos de doble chip (DCM) en los servidores escalables de IBM . En la mayoría de las configuraciones, no todos los núcleos están activos, lo que da como resultado una variedad de configuraciones en las que el recuento de núcleos real difiere. La versión de 12 núcleos se utiliza en los modelos de gama alta E880 y E880C.

El módulo POWER8 de un solo chip de IBM se llama Turismo [29] y la variante de doble chip se llama Murano. [30] La versión modificada de PowerCore se llama CP1.

POWER8 con NVLink

Esta es una versión revisada del POWER8 original de 12 núcleos de IBM, y solía llamarse POWER8+ . La principal novedad es que cuenta con soporte para la tecnología de bus NVLink de Nvidia , conectando hasta cuatro dispositivos NVLink directamente al chip. IBM eliminó las interfaces A Bus y PCI para conexiones SMP a otros zócalos POWER8 y las reemplazó con interfaces NVLink. La conexión a un segundo zócalo de CPU ahora se realiza a través del bus X. Además de eso y de un ligero aumento de tamaño hasta 659 mm 2 , las diferencias parecen mínimas en comparación con los procesadores POWER8 anteriores. [31] [32] [33] [34]

Licenciatarios

El 19 de enero de 2014, Suzhou PowerCore Technology Company anunció que se uniría a la Fundación OpenPOWER y otorgaría la licencia del núcleo POWER8 para diseñar procesadores personalizados para su uso en aplicaciones de big data y computación en la nube . [35] [36]

Variantes

Sistemas

Vista trasera de un E870, en el que la unidad de control del sistema está en la parte superior y el nodo del sistema en el medio. [19]
IBM
Servidores Scale Out , que admiten uno o dos zócalos, cada uno de los cuales lleva un módulo de doble chip con dos procesadores POWER8 de seis núcleos. Vienen en factores de forma 2U o 4U y en una configuración de torre. Las versiones "L" ejecutan sólo Linux , mientras que las demás ejecutan AIX , IBM i y Linux. Las versiones "LC" son creadas por socios de OpenPOWER. [39] [40] [41]
  • Sistemas de alimentación S812L  – 1× POWER8 DCM (4, 6 u 8 núcleos), 2U
  • Power Systems S814  – 1× POWER8 DCM (6 u 8 núcleos), 4U o torre
  • Sistemas de alimentación S822 y S822L  : 1× o 2× POWER8 DCM (6, 10, 12 o 20 núcleos), 2U
  • Sistemas de alimentación S824 y S824L  : 1 × o 2 × POWER8 DCM (6, 8, 12, 16 o 24 núcleos), 4U
  • Power Systems S821LC "Stratton"  – 2× POWER8 SCM (8 o 10 núcleos), 1U. Hasta 512 GB de RAM DDR4 respaldada por cuatro chips Centaur L4. Fabricado por Supermicro . [42]
  • Power Systems S822LC para Big Data "Briggs"  – 2× POWER8 SCM (8 o 10 núcleos), 2U. Hasta 512 GB de RAM DDR4 respaldada por cuatro chips Centaur L4. Fabricado por Supermicro. [42]
Servidores empresariales , que admiten nodos con cuatro zócalos, cada uno con módulos de 8, 10 o 12 núcleos, para un máximo de 16 zócalos, 128 núcleos y 16 TB de RAM. Estas máquinas pueden ejecutar AIX , IBM i o Linux . [19]
  • Sistemas de alimentación E850  : 2×, 3× o 4× POWER8 DCM (8, 10 o 12 núcleos), 4U
  • Power Systems E870  : 1 o 2 nodos de 5U, cada uno con cuatro zócalos con módulos de un solo chip POWER8 de 8 o 10 núcleos, para hasta un total de 80 núcleos
  • Power Systems E880  : nodos 1x, 2x, 3x o 4x 5U, cada uno con cuatro zócalos con módulos de un solo chip POWER8 de 8 o 12 núcleos para hasta un total de 192 núcleos
Computación de alto rendimiento :
  • Power Systems S812LC  – 1× POWER8 SCM (8 o 10 núcleos), 2U. Fabricado por Tyan. [43]
  • Power Systems S822LC "Firestone"  – 2× POWER8 SCM (8 o 10 núcleos), 2U. Dos GPU Nvidia Tesla K80 y hasta 1 TB de RAM DDR3 básica. Fabricado por Wistron . [37] [43] [44] [45]
  • Power Systems S822LC para HPC "Minsky"  – 2× POWER8+ SCM (8 o 10 núcleos), 2U. Hasta cuatro GPU Nvidia Tesla P100 NVLinked y hasta 1 TB de RAM DDR4 básica. Fabricado por Wistron . [42] [46]
Consola de administración de hardware
  • 7063-CR1 HMC  – 1× POWER8 SCM (6 núcleos), 1U. Basado en el diseño de SuperMicro "Stratton". [47]
tian
  • Una placa base ATX con un zócalo POWER8 de un solo chip llamado SP010GM2NR. [29]
  • Palmetto GN70-BP010 , sistema de referencia OpenPower. Servidor 2U, con un SCM POWER8 de cuatro núcleos, cuatro zócalos de RAM, basado en una placa base de Tyan. [29] [48]
  • Habanero TN-71-BP012 . 2U, con un SCM POWER8 de 8 núcleos, 32 zócalos de RAM [37] [45] [48]
  • GT75-BP012 . 1U, con un único SCM POWER8 de 8 o 10 núcleos y 32 zócalos para módulos RAM [49]
Google
Google ha mostrado una placa base con dos zócalos, destinada únicamente a uso interno. [50] [51]
Velocidad de pila
StackVelocity ha diseñado una plataforma de referencia de alto rendimiento, Saba.
Inspur
Inspur ha llegado a un acuerdo con IBM para desarrollar hardware de servidor basado en POWER8 y tecnologías relacionadas. [52] [53]
  • Servidor 4U, dos zócalos POWER8. [54]
Cirraescala
RM4950  : SCM POWER8 de 4U y 4 núcleos con cuatro aceleradores Nvidia Tesla K40. Basado en la placa base de Tyan. [37] [44] [45] [55]
zoomnetcom
RedPOWER C210 y C220  – Servidores 2U y 4U con dos zócalos POWER8 y 64 zócalos para módulos RAM. [37] [56]
RedPOWER C310 y C320  – Servidores 2U y 4U con dos sockets CP1. [56]
ChuangHe
OP-1X  : 1U, conector único, 32 ranuras de RAM. [37] [57]
Espacio en rack
Barreleye  : 1U, 2 zócalos, 32 ranuras de RAM. Basado en la plataforma Open Compute Project para uso en su servicio OnMetal. [45] [57] [58] [59] [60]
Sistemas informáticos Raptor / Ingeniería Raptor
Talos I  : servidor o estación de trabajo 4U inédito, 1 zócalo, 8 ranuras de RAM. [61]
Computación pingüino
Serie de productos Magna [62] [63]
  • Magna 2001 (desarrollo de software) [64]
  • Magna 1015 (virtualización) [65] [66]
  • Magna 2002 y Magna 2002S (aprendizaje automático) [67] [68]

Ver también

Referencias

  1. ^ abc "No encontrarás esto en tu teléfono: un Power8 de 12 núcleos y 4 GHz para cajas potentes". El registro .
  2. ^ "Manual del usuario del procesador POWER8 para el módulo de chip único" (PDF) . IBM. 16 de marzo de 2016.
  3. ^ ab "IBM POWER8 - Anuncio/Planes de disponibilidad" (PDF) . Archivado desde el original (PDF) el 24 de mayo de 2014 . Consultado el 23 de mayo de 2014 .
  4. ^ "Watson de IBM podría volverse aún más inteligente con el chip Power8". idgconnect.com . Archivado desde el original el 27 de diciembre de 2014 . Consultado el 17 de diciembre de 2014 .
  5. ^ ab Hurlimann, Dan (junio de 2014). "Hardware POWER8" (PDF) . ibm.com . IBM . Consultado el 5 de noviembre de 2014 .
  6. ^ "Sistema de energía IBM S814" . Consultado el 17 de diciembre de 2014 .
  7. ^ POWER8: un procesador de clase servidor de 12 núcleos en SOI de 22 nm con ancho de banda fuera del chip de 7,6 Tb/s . Conferencia internacional de circuitos de estado sólido IEEE 2014. doi :10.1109/ISSCC.2014.6757353. S2CID  32988422.
  8. ^ Agam Shah (17 de diciembre de 2014). "El nuevo Power8 de IBM duplica el rendimiento del chip Watson". Mundo PC . Consultado el 17 de diciembre de 2014 .
  9. ^ "Detallado procesador IBM Power8: presenta un diseño de 22 nm con 12 núcleos, caché eDRAM L3 de 96 MB y velocidad de reloj de 4 GHz". WCCFtech . 27 de agosto de 2013 . Consultado el 17 de diciembre de 2014 .
  10. ^ Altavilla, Dave (18 de noviembre de 2013). "Nvidia presenta el acelerador Tesla K40 y su asociación estratégica con IBM". Forbes . Consultado el 18 de noviembre de 2013 .
  11. ^ Gelas, Johan De. "OpenCAPI presentado: AMD, IBM, Google, Xilinx, Micron y Mellanox unen fuerzas en la era de la informática heterogénea" . Consultado el 17 de octubre de 2016 .
  12. ^ Todd Rosedahl (20 de diciembre de 2014). "El código de firmware OCC ahora es de código abierto". openpowerfoundation.org . Archivado desde el original el 27 de diciembre de 2014 . Consultado el 27 de diciembre de 2014 .
  13. ^ "open-power/docs: descripción general de OCC". GitHub . 09/12/2014 . Consultado el 27 de diciembre de 2014 .
  14. ^ "Ingeniería de semiconductores.:. El buen tipo de regulación". 13 de marzo de 2014 . Consultado el 17 de diciembre de 2014 .
  15. ^ ab Frédéric Rémond. «ISSCC 2014 - IBM dévoile le Power8» (en francés) . Consultado el 17 de diciembre de 2014 .
  16. ^ ab "Introducción al procesador POWER8". IBM . pag. 22. Archivado desde el original el 6 de mayo de 2018.
  17. ^ abc Introducción y descripción técnica de IBM Power System S822 (REDP-5102-00). 30 de septiembre de 2016.
  18. ^ Introducción y descripción general técnica de IBM Power System S822LC (REDP-5283-00). 30 de septiembre de 2016.
  19. ^ abcd Introducción y descripción técnica de IBM Power Systems E870 y E880 (REDP-5137-00). 30 de septiembre de 2016.
  20. ^ Implementación de un clúster IBM InfoSphere BigInsights utilizando Linux on Power. 30 de septiembre de 2016. SG24-8248-00.
  21. ^ "Anuncio de hardware de IBM Europa, Medio Oriente y África ZG14-0279, mejoras de E/S de IBM Power Systems (RPQ 8A2232)" (PDF) . IBM .
  22. ^ Jeff Stuecheli. "PODER8" (PDF) . Archivado desde el original (PDF) el 2 de febrero de 2014.
  23. ^ Alex Méricas. "Características de rendimiento del procesador POWER8" (PDF) . Archivado desde el original (PDF) el 20 de abril de 2015.
  24. ^ Sinharoy, B.; Van Norstrand, JA; Eickemeyer, RJ; Le, cuartel general; Leenstra, J.; Nguyen, DQ; Königsburg, B.; Ward, K.; Marrón, Doctor en Medicina; Moreira, JE; Levitán, D.; Tung, S.; Hrusecky, D.; Obispo, JW; Gschwind, M.; Boersma, M.; Kroener, M.; Kaltenbach, M.; Karkhanis, T.; Fernsler, KM (2015). "Microarquitectura del núcleo del procesador IBM POWER8". Revista IBM de investigación y desarrollo . 59 : 2:1–2:21. doi :10.1147/JRD.2014.2376112.
  25. Leonidas Barbosa (21 de septiembre de 2015). "Criptografía interna de POWER8". IBM.
  26. ^ Técnicas de optimización y ajuste del rendimiento para procesadores IBM, incluido IBM POWER8 (PDF) . IBM . Julio de 2014 . Consultado el 2 de noviembre de 2022 .
  27. ^ Wei Li (18 de noviembre de 2014). "Funciones integradas de memoria transaccional del hardware del compilador IBM XL para IBM AIX en sistemas basados ​​en procesador IBM POWER8". IBM . Consultado el 8 de febrero de 2015 .
  28. ^ Harold W. Cain, Maged M. Michael, Brad Frey, Cathy May, Derek Williams y Hung Le. "Soporte arquitectónico robusto para la memoria transaccional en la arquitectura energética". En ISCA '13 Actas del 40º Simposio Internacional Anual sobre Arquitectura de Computadores, págs. 225-236, ACM, 2013. doi :10.1145/2485922.2485942
  29. ^ abc "Tyan envía el primer servidor Power8 que no es IBM". Tecnología empresarial . 8 de octubre de 2014 . Consultado el 17 de diciembre de 2014 .
  30. ^ "Power8 Iron para enfrentarse a Xeons de cuatro zócalos". nextplatform.com . 2015-05-11.
  31. ^ "OpenPOWER y la hoja de ruta por delante: Brad McCredie" (PDF) . Archivado desde el original (PDF) el 28 de diciembre de 2018 . Consultado el 9 de septiembre de 2016 .
  32. ^ "IBM presenta el chip Power8 con NVLink y 3 nuevos sistemas". 8 de septiembre de 2016.
  33. ^ "Documento técnico: NVIDIA Tesla P100: el acelerador de centro de datos más avanzado jamás creado con Pascal GP100, la GPU más rápida del mundo" (PDF) .
  34. ^ Caldeira, Alexandre Bicas; Haug, Volker (28 de septiembre de 2017). IBM Power System S822LC para informática de alto rendimiento Introducción y descripción técnica (PDF) . Papel rojo de IBM. ISBN 9780738455617.
  35. ^ "Sala de noticias de IBM - 19 de enero de 2014 Suzhou PowerCore Technology Co. tiene la intención de utilizar la tecnología IBM POWER para el diseño de chips que impulse la innovación en China - Estados Unidos". 03.ibm.com . Consultado el 22 de enero de 2014 .
  36. ^ Chris Maxcer y Mel Beckman. "Suzhou PowerCore comenzará a utilizar IBM POWER Tech para el diseño de nuevos chips en China". PowerITPro . Consultado el 22 de enero de 2014 .
  37. ^ abcdef "OpenPower Collective se abre al negocio de sistemas". nextplatform.com . 2015-03-20.
  38. ^ "La Fundación presenta una gran cantidad de novedades en OpenPOWER". 18 de marzo de 2015.
  39. ^ "IBM anuncia POWER8 con OpenPOWER Partners" (PDF) .
  40. ^ "Sala de noticias de IBM - 23 de abril de 2014 IBM aborda los desafíos de Big Data con un modelo de innovación de servidor abierto - Estados Unidos" . Consultado el 17 de diciembre de 2014 .
  41. ^ "Hardware escalable con tecnología POWER8" (PDF) . Archivado desde el original (PDF) el 23 de mayo de 2014.
  42. ^ abc "Los sistemas IBM Power Linux actualizados agregan NVLink". 8 de septiembre de 2016.
  43. ^ ab "IBM vuelve a la HPC con clústeres LC de Power Systems". nextplatform.com . 2015-10-08.
  44. ^ ab "El primer servidor OpenPOWER de IBM se dirige a cargas de trabajo HPC". 20 de marzo de 2015.
  45. ^ abcd "Los líderes tecnológicos de OpenPOWER Foundation presentan soluciones de hardware para ofrecer nuevas alternativas de servidor". Archivado desde el original el 2 de abril de 2015 . Consultado el 21 de marzo de 2015 .
  46. ^ "El nuevo servidor Power8 de IBM incluye la rápida interconexión NVLink de Nvidia".
  47. ^ "Instalación de hardware HMC 7063-CR1 (HMC basada en POWER8)". IBM .
  48. ^ ab "Sistema Tyan OpenPOWER".
  49. ^ "TYAN estrena nuevo servidor 1U basado en POWER8 en OpenPOWER Summit 2016".
  50. ^ "Dentro de Google, placas de servidor Tyan Power8". Tecnología empresarial . 29 de abril de 2014 . Consultado el 17 de diciembre de 2014 .
  51. ^ "Hoy estoy emocionado de mostrar una placa base del servidor Google POWER8 en..." . Consultado el 17 de diciembre de 2014 .
  52. ^ "IBM ayudará a Inspur de China a diseñar servidores". Reuters . 22 de agosto de 2014 . Consultado el 17 de diciembre de 2014 .
  53. ^ Alex Barinka (23 de agosto de 2014). "IBM deja de lado la rivalidad para asociarse con Inspur de China". Bloomberg . Consultado el 17 de diciembre de 2014 .
  54. ^ "14 opiniones de la Open Power Summit".
  55. ^ "Cirrascale RM4950 / Plataforma de desarrollo POWER8® multidispositivo".
  56. ^ ab "Página de productos RedPOWER".
  57. ^ ab Burt, Jeff (19 de marzo de 2015). "OpenPower Group exhibe productos de hardware iniciales". Semana electrónica .
  58. ^ "OpenPOWER: abrir la pila, completamente hacia abajo". Archivado desde el original el 30 de abril de 2015 . Consultado el 21 de marzo de 2015 .
  59. ^ "Rackspace crea un servidor informático abierto basado en OpenPOWER". 16 de diciembre de 2014.
  60. ^ "La vida en la intersección: OpenPOWER, Open Compute y el futuro de la infraestructura y el software en la nube". Archivado desde el original el 8 de abril de 2015.
  61. ^ Pearson, Timoteo. "Estación de trabajo segura Talos" (descripción del producto) . Suministro de multitudes .
  62. ^ Shilov, Anton (15 de abril de 2016). "OpenPOWER obtiene soporte a medida que Inventec, Inspur y Supermicro desarrollan servidores basados ​​en POWER8" (web) . AnandTech . Consultado el 16 de noviembre de 2017 .
  63. ^ Gelas, Johan De (24 de febrero de 2017). "La saga OpenPOWER continúa: ¿Se puede obtener ENERGÍA dentro de 1U?" (web) . AnandTech . Consultado el 16 de noviembre de 2017 .
  64. ^ "Ficha técnica de Penguin Magna 2001" (PDF) . Computación pingüino .
  65. ^ "Ficha técnica de Penguin Magna 1015" (PDF) . Computación pingüino .
  66. ^ "Penguin Computing anuncia la plataforma de servidor OpenPOWER y los sistemas Mark III del socio de comercialización: Penguin Computing" (Presione soltar). Las Vegas: Computación Penguin. 2016-09-19. Archivado desde el original el 20 de octubre de 2016 . Consultado el 16 de noviembre de 2017 .
  67. ^ "Ficha técnica de Penguin Magna 2002" (PDF) . Computación pingüino .
  68. ^ "Penguin Computing anuncia nuevos servidores Magna y Relion con aceleradores GPU NVIDIA Tesla P100 para informática de alto rendimiento". Penguin Computing (Presione soltar). Freemont, California. 2016-06-20. Archivado desde el original el 3 de julio de 2017 . Consultado el 16 de noviembre de 2017 .

enlaces externos