stringtranslate.com

Patrones múltiples

La creación de patrones múltiples (o multi-patterning ) es una clase de tecnologías para la fabricación de circuitos integrados (CI), desarrollada para la fotolitografía con el fin de mejorar la densidad de características. Se espera que sea necesaria para los procesos de semiconductores de nodos de 10 nm y 7 nm y más allá. La premisa es que una sola exposición litográfica puede no ser suficiente para proporcionar una resolución suficiente. Por lo tanto, se necesitarían exposiciones adicionales o, de lo contrario, sería necesario posicionar patrones utilizando paredes laterales de características grabadas (utilizando espaciadores).

Diferentes técnicas para la creación de patrones múltiples
Arriba: División de características en grupos (aquí se muestran 3), cada uno de ellos modelado por una máscara diferente
Centro: Uso de un espaciador para generar características separadas adicionales en los espacios
Abajo: Uso de una característica de polaridad opuesta para cortar (pequeña ruptura) características preexistentes

Incluso con una única exposición que tiene suficiente resolución, se han implementado máscaras adicionales para una mejor calidad de patrones, como por ejemplo Intel para el corte de línea en su nodo de 45 nm [1] o TSMC en su nodo de 28 nm. [2] Incluso para la litografía por haz de electrones , la exposición única parece insuficiente a un paso medio de ~10 nm, por lo que se requiere un patrón doble. [3] [4]

La litografía de doble patrón fue demostrada por primera vez en 1983 por DC Flanders y NN Efremow. [5] Desde entonces, se han desarrollado varias técnicas de doble patrón, como el doble patrón de autoalineación (SADP) y un enfoque de solo litografía para el doble patrón. [6] [7]

Gurtej Singh Sandhu de Micron Technology fue pionero en el uso de patrones dobles de paso durante la década de 2000, lo que condujo al desarrollo de la memoria flash NAND de 30 nm . Desde entonces, los fabricantes de memorias flash NAND y de acceso aleatorio de todo el mundo han adoptado ampliamente el uso de patrones múltiples . [8] [9]

Situaciones que requieren múltiples patrones

Hay una serie de situaciones que pueden dar lugar a que sea necesario crear múltiples patrones.

Discurso de subresolución

Los defectos estocásticos limitan la resolución de EUV. Los defectos estocásticos son más graves para distancias más estrechas; a una distancia de 36 nm, la tasa de defectos no cae por debajo de ~1e-9. Los patrones de contacto tienen una defectividad grave en dimensiones mayores.

El caso más obvio que requiere patrones múltiples es cuando el paso de la característica está por debajo del límite de resolución del sistema de proyección óptica. Para un sistema con apertura numérica NA y longitud de onda λ, cualquier paso por debajo de 0,5 λ/NA no sería resoluble en una única exposición de oblea. El límite de resolución también puede originarse a partir de efectos estocásticos, como en el caso de EUV . En consecuencia, el ancho de línea de 20 nm aún requiere patrones dobles de EUV, debido a una mayor defectividad en pasos mayores. [10]

Redondeo de patrones bidimensionales

Redondeo de patrones bidimensionales. Los patrones densos bidimensionales formados a partir de unos pocos rayos que interfieren siempre están muy redondeados.

Está bien establecido que los patrones densos bidimensionales, que se forman a partir de la interferencia de dos o tres haces a lo largo de una dirección, como en la iluminación cuadrupolar o QUASAR, están sujetos a un redondeo significativo, particularmente en curvas y esquinas. [11] [12] [13] El radio de redondeo de las esquinas es mayor que el paso mínimo (~0,7 λ/NA). [14] Esto también contribuye a los puntos calientes para tamaños de características de ~0,4 λ/NA o menores. [15] Por esta razón, es ventajoso definir primero los patrones de línea y luego cortar segmentos de dichas líneas en consecuencia. [16] Por supuesto, esto requiere exposiciones adicionales. Las formas cortadas también pueden ser redondas, lo que requiere una precisión de colocación estricta. [16] [17] [18]

Desventaja entre la punta de la línea y el ancho de la línea

El redondeo de las puntas de las líneas conduce naturalmente a un equilibrio entre la reducción del ancho de la línea (es decir, el ancho de la punta de la línea) y la reducción del espacio entre las puntas enfrentadas opuestas. A medida que el ancho de la línea se reduce, el radio de la punta se reduce. Cuando la punta de la línea ya es menor que la función de dispersión de puntos (k 1 ~0,6–0,7), la punta de la línea se retrae naturalmente, [19] aumentando el espacio entre las puntas enfrentadas opuestas. La función de dispersión de puntos limita asimismo la distancia resoluble entre los centros de las puntas de las líneas (modeladas como círculos). Esto conduce a su vez a un equilibrio entre la reducción del ancho de la celda y la reducción de la altura de la celda. El equilibrio se evita añadiendo una máscara de corte/recorte (véase la discusión a continuación). [20] Por lo tanto, para el nodo de 7 nm dirigido a EUV, con un ancho de línea de metal de 18 nm (k 1 = 0,44 para λ = 13,5 nm, NA = 0,33), el espacio entre las puntas de la línea de menos de 25 nm (k 1 = 0,61) implica que el patrón único EUV no es suficiente; es necesaria una segunda exposición de corte.

Diferentes partes del diseño requieren diferentes iluminaciones.

Distintas características requieren distintas iluminaciones. Distintas características en el mismo diseño (como se indica con distintos colores) podrían requerir distintas iluminaciones y, por lo tanto, distintas exposiciones. Si bien las líneas horizontales y verticales pueden abordarse con una iluminación cuadrupolar común (azul), las orientaciones de 45 grados se verían afectadas, ya que requieren una iluminación cuadrupolar completamente diferente (roja). En consecuencia, para incluir todos estos casos se requerirían exposiciones separadas.

Cuando los patrones incluyen tamaños de características cercanos al límite de resolución, es común que diferentes disposiciones de dichas características requieran iluminaciones específicas para su impresión. [21]

El ejemplo más básico es el de líneas densas horizontales frente a líneas verticales (paso medio < 0,35 λ/NA), donde las primeras requieren una iluminación dipolar Norte-Sur mientras que las segundas requieren una iluminación dipolar Este-Oeste. Si se utilizan ambos tipos (también conocido como C-Quad de cuadrupolo cruzado), el dipolo inadecuado degrada la imagen de la orientación de línea respectiva. [22] Pasos mayores de hasta λ/NA pueden tener líneas horizontales y verticales acomodadas por la iluminación cuadrupolo o QUASAR, pero las características espaciadas en diagonal y las características de codo se degradan. [23] [24]

En DRAM , la matriz y la periferia están expuestas a diferentes condiciones de iluminación . Por ejemplo, la matriz podría estar expuesta con iluminación dipolar mientras que la periferia podría usar iluminación anular. [25] Esta situación se aplica a cualquier conjunto de patrones (paso medio < 0,5 λ/NA) con diferentes pasos o diferentes disposiciones de características, por ejemplo, matrices rectangulares frente a matrices escalonadas. [26] [27] [28] [29] Cualquiera de los patrones individuales se puede resolver, pero no se puede utilizar una única iluminación simultáneamente para todos ellos. Un paso mínimo puede requerir una iluminación que sea perjudicial para el doble del paso mínimo con desenfoque. [30] [31]

La inclusión de características tanto aisladas como densas es un ejemplo bien conocido de creación de patrones de múltiples tonos. Las características de asistencia de subresolución (SRAF) se han diseñado para permitir la creación de patrones de características aisladas cuando se utiliza iluminación adaptada a las características densas. Sin embargo, no se pueden cubrir todos los rangos de tonos. En particular, las características semidensas pueden no ser fáciles de incluir. [32] [33]

Ejemplo específico: matrices de agujeros

Iluminaciones específicas de cada matriz. Las distintas configuraciones de matriz requieren iluminaciones diferentes y mutuamente excluyentes. Para adaptarlas a todas, se necesitarían diferentes exposiciones con las distintas iluminaciones.

En el caso específico de los conjuntos de agujeros (paso mínimo < 0,6 λ/NA), existen tres casos bien conocidos que requieren tres iluminaciones completamente diferentes. Un conjunto regular generalmente requiere iluminación Quasar, mientras que el mismo conjunto rotado 45 grados da como resultado un conjunto de tablero de ajedrez que requiere iluminación C-quad. [29] A diferencia de ambos casos, un conjunto con simetría cercana a la triangular o hexagonal requiere iluminación hexapolar. [34]

Patrones de varios tonos

Punto de acceso OPC. No se permite espacio suficiente (región roja) para que las funciones de asistencia admitan un paso mínimo de metal (MMP) de 2x en presencia de un MMP de 1x.
Patrones con iluminaciones incompatibles. Las iluminaciones diseñadas para ciertas partes de un patrón de varios pasos pueden degradar otros aspectos. Aquí, las ubicaciones azules benefician el paso de línea mínimo, mientras que las ubicaciones rojas benefician los saltos de línea, pero no el paso de línea mínimo.

A veces, un patrón de características contiene inherentemente más de un tono y, además, estos tonos son incompatibles hasta el punto de que ninguna iluminación puede generar imágenes simultáneas de ambos tonos de manera satisfactoria. Un ejemplo común, nuevamente de DRAM, es el patrón de ladrillo que define las regiones activas de la matriz. [35] [36] Además del tono estrecho de las regiones activas, también existe el tono entre las separaciones o cortes de las regiones activas, que es diferente del tono estrecho en la misma dirección. Cuando el tono estrecho es < λ/NA (pero aún > 0,5 λ/NA), no se puede generar imágenes simultáneamente con el tono doble debido a las limitaciones de enfoque de este último. El grabado selectivo, junto con SADP o SAQP (que se describirán a continuación), es el mejor enfoque actual para lograr la generación simultánea de patrones de ambos tonos. [37]

Pequeñas desviaciones de la interferencia de 2 haces

Un patrón de interferencia de dos haces (paso medio <0,5 λ/NA) forma un conjunto de líneas espaciadas regularmente. Las rupturas en dichas líneas, por ejemplo, patrones de ladrillos, son desviaciones del patrón de interferencia. Dichas rupturas generalmente no dominan el patrón y, por lo tanto, son pequeñas desviaciones. Estas desviaciones son insuficientes para compensar por completo la interferencia constructiva o destructiva del patrón de línea regular subyacente; a menudo resultan lóbulos laterales. [38] [39] Los espacios en los extremos de las líneas se pueden salvar fácilmente bajo la iluminación dipolar. [40] Por lo tanto, es necesaria otra exposición de máscara (generalmente denominada máscara de corte) para romper el patrón de línea de manera más robusta.

Corte de línea

La desalineación de las formas cortadas puede provocar problemas eléctricos, como arcos eléctricos y variaciones en la resistencia de contacto.

La primera implementación de patrones múltiples implicó el corte de líneas. Esto ocurrió por primera vez para el nodo de 45 nm de Intel, para un paso de compuerta de 160 nm. [41] El uso de una segunda máscara para cortar líneas definidas por una primera máscara no ayuda a aumentar la densidad de características directamente. En cambio, permite la definición de características, por ejemplo, patrones de ladrillos, que se basan en líneas espaciadas a un paso mínimo, en particular, cuando las líneas están cerca del límite de resolución y son generadas por la interferencia de dos haces mencionada anteriormente. La interferencia de dos haces aún domina el patrón de difracción. [38] De hecho, en ausencia de una exposición de corte separada, la brecha entre los extremos de las líneas de paso mínimo será prohibitivamente grande. [42] [43] Esto se debe al redondeo resultante de frecuencias espaciales reducidas. [44]

Las formas de corte de línea en sí mismas están sujetas a redondeo; este redondeo se puede minimizar con una iluminación optimizada, [45] pero no se puede eliminar por completo.

Al aplicar la segunda máscara a las líneas de corte, se debe tener en cuenta la superposición relativa a la primera máscara; de lo contrario, pueden producirse errores de colocación de bordes (EPE). Si el paso de línea ya está cerca del límite de resolución, el patrón de corte en sí puede presentar dificultades para obtener imágenes, debido a una dosis reducida o una ventana de enfoque. La variabilidad estocástica EUV provoca una forma aleatoria de los cortes. [46] En este caso, se tendría que utilizar más de una máscara de corte, o bien el corte tiene que extenderse sobre más de una línea. El corte de línea autoalineado (que se analizará más adelante) puede ser una opción preferida.

División de tono

Doble exposición, doble grabado (trincheras): revestimiento de fotorresistencia sobre el primer patrón; grabado adyacente a las características anteriores; eliminación de fotorresistencia
Doble patrón por división de tonos. El doble patrón por división de tonos implica asignar características adyacentes a dos máscaras diferentes, indicadas por colores diferentes. Sigue siendo el enfoque de patrón múltiple más simple que se practica en la actualidad y agrega menos costo que EUV.
A veces, es necesario "unir" dos características impresas por separado en una sola.

La primera forma de modelado múltiple implicaba simplemente dividir un patrón en dos o tres partes, cada una de las cuales puede procesarse de manera convencional, con el patrón completo combinado al final en la capa final. Esto a veces se llama división de paso , ya que no se pueden obtener imágenes de dos características separadas por un paso, por lo que solo se pueden obtener imágenes de las características omitidas a la vez. También se lo denomina de manera más directa como "LELE" (Litho-Etch-Litho-Etch). Este enfoque se ha utilizado para los nodos de 20 nm y 14 nm. Se toleró el costo adicional de las exposiciones adicionales ya que solo las necesitarían unas pocas capas críticas. Una preocupación más seria era el efecto de los errores de posicionamiento de característica a característica (superposición). En consecuencia, el enfoque de imágenes de pared lateral autoalineadas (descrito a continuación) ha sucedido a este enfoque.

Un método de "fuerza bruta" para la creación de patrones en las zanjas implica una secuencia de (al menos) dos exposiciones y grabados independientes de patrones independientes en la misma capa. Para cada exposición, se requiere un revestimiento de fotorresistencia diferente. Cuando se completa la secuencia, el patrón es un compuesto de los subpatrones grabados previamente. Al intercalar los subpatrones, la densidad del patrón se puede aumentar teóricamente de forma indefinida, siendo el semitono inversamente proporcional al número de subpatrones utilizados. Por ejemplo, se puede generar un patrón de semitono de 25 nm intercalando dos patrones de semitono de 50 nm, tres patrones de semitono de 75 nm o cuatro patrones de semitono de 100 nm. La reducción del tamaño de las características probablemente requerirá la ayuda de técnicas como encogimiento químico, reflujo térmico o películas de ayuda al encogimiento. Este patrón compuesto se puede transferir luego a la capa final.

Esto se describe mejor considerando un ejemplo de proceso. Una primera exposición de fotorresistencia se transfiere a una capa de máscara dura subyacente. Después de que se retira la fotorresistencia luego de la transferencia del patrón de máscara dura, se aplica una segunda capa de fotorresistencia sobre la muestra y esta capa se somete a una segunda exposición, lo que permite obtener imágenes de las características entre las características estampadas en la capa de máscara dura. El patrón de la superficie está formado por características de fotorresistencia bordeadas entre las características de la máscara, que se pueden transferir a la capa final que se encuentra debajo. Esto permite duplicar la densidad de características.

A veces, es necesario "unir" dos características impresas por separado en una sola característica. [47] [48] [49]

Una variación de este enfoque que elimina el primer grabado de máscara dura es la congelación de la resistencia [ 50] , que permite aplicar una segunda capa de resistencia sobre la primera capa de resistencia desarrollada. JSR ha demostrado líneas y espacios de 32 nm utilizando este método [51] , donde la congelación se logra mediante el endurecimiento de la superficie de la primera capa de resistencia.

En los últimos años, el alcance del término "división de paso" se ha ampliado gradualmente para incluir técnicas que involucran espaciadores de paredes laterales.

Transferencia de imagen de pared lateral

Máscara espaciadora: primer patrón; deposición; formación de espaciador por grabado; eliminación del primer patrón; grabado con máscara espaciadora; patrón final

En el diseño de patrones con espaciadores , un espaciador es una capa de película formada en la pared lateral de una característica prediseñada. Un espaciador se forma por deposición o reacción de la película sobre el patrón anterior, seguida de un grabado para eliminar todo el material de la película en las superficies horizontales, dejando solo el material en las paredes laterales. Al eliminar la característica con patrón original, solo queda el espaciador. Sin embargo, dado que hay dos espaciadores para cada línea, la densidad de líneas ahora se ha duplicado. Esto se conoce comúnmente como diseño de patrones dobles autoalineados (SADP). La técnica del espaciador es aplicable para definir puertas estrechas a la mitad del paso litográfico original, por ejemplo.

Como la división de paso se ha vuelto más difícil debido a las posibles diferencias en las posiciones de las características entre las diferentes partes expuestas, la transferencia de imágenes de la pared lateral (SIT) se ha vuelto más reconocida como el enfoque necesario. El enfoque SIT generalmente requiere que se forme una capa espaciadora en la pared lateral de una característica grabada. Si este espaciador corresponde a una característica conductora, entonces en última instancia debe cortarse en no menos de dos ubicaciones para separar la característica en dos o más líneas conductoras como se espera típicamente. Por otro lado, si el espaciador corresponde a una característica dieléctrica, el corte no sería necesario. La predicción de cuántos cortes serían necesarios para patrones lógicos avanzados ha sido un gran desafío técnico. Se han publicado muchos enfoques para la creación de patrones espaciadores (algunos enumerados a continuación), todos ellos destinados a mejorar la gestión (y reducción) de los cortes.

Como los materiales espaciadores son comúnmente materiales de máscara dura , su calidad de patrón posterior al grabado tiende a ser superior en comparación con los perfiles de fotorresistencia después del grabado, que generalmente están plagados de rugosidad en los bordes de las líneas. [52]

Los principales problemas con el método de los espaciadores son si los espaciadores pueden permanecer en su lugar después de que se retire el material al que están unidos, si el perfil del espaciador es aceptable y si el material subyacente es atacado por el grabado que elimina el material unido al espaciador. La transferencia de patrones se complica por la situación en la que la eliminación del material adyacente a los espaciadores también elimina un poco del material subyacente. Esto da como resultado una topografía más alta en un lado del espaciador que en el otro. [53] Cualquier desalineación de las máscaras o excursión en la dimensión crítica (CD) de la característica pre-modelada hará que el paso entre las características se alterne, un fenómeno conocido como "caminata de paso". [54]

La posición del espaciador también depende del patrón al que está unido. Si el patrón es demasiado ancho o demasiado estrecho, la posición del espaciador se ve afectada. Sin embargo, esto no sería un problema para los procesos de fabricación de características de memoria críticas que están autoalineados.

Cuando se repite el SADP, se logra una reducción adicional a la mitad del paso. Esto se conoce a menudo como patrón cuádruple autoalineado (SAQP). Como 76 nm es el paso mínimo esperado para una exposición de litografía de inmersión única , [55] ahora se puede acceder a un paso de 19 nm con SAQP.

Contacto autoalineado/patrón de vía

Autoalineado mediante patrón de doble damasquinado.

El patrón de contactos y vías autoalineados es un método establecido para crear patrones de contactos o vías múltiples a partir de una única característica litográfica. Utiliza la intersección de una máscara de resistencia de características ampliada y zanjas subyacentes que están rodeadas por una capa de máscara dura pre-modelada. Esta técnica se utiliza en celdas DRAM [56] y también se utiliza para lógica avanzada para evitar exposiciones múltiples de contactos y vías con división de paso. [57] [58] [59]

Desde el nodo de 32 nm, Intel ha aplicado el enfoque de vías autoalineadas mencionado anteriormente, que permite que dos vías separadas por un paso lo suficientemente pequeño (112,5 nm para el metal de 32 nm de Intel) [60] se diseñen con una abertura de resistencia en lugar de dos separadas. [59] Si las vías estuvieran separadas por menos del límite de resolución de paso de exposición única, se reduciría el número mínimo requerido de máscaras, ya que ahora se pueden reemplazar dos máscaras separadas para el par de vías originalmente separadas por una sola máscara para el mismo par.

El espaciador es dieléctrico (SID) SADP

SADP espaciador-es-dieléctrico (SID) basado en dos deposiciones sucesivas así como al menos dos grabados.
SID SADP tiene tres veces más resolución, lo que permite una máscara adicional para cortar líneas.

En el patrón doble autoalineado (SADP), la cantidad de máscaras de corte/bloque se puede reducir o incluso eliminar en parches densos cuando el espaciador se usa para modelar directamente el dieléctrico intermetálico en lugar de las características metálicas. [61] La razón es que las ubicaciones de corte/bloque en las características del núcleo/mandril ya están modeladas en la primera máscara. Hay características secundarias que emergen de los espacios entre los espaciadores después de un patrón adicional. El borde entre una característica secundaria y el espaciador se autoalinea con la característica del núcleo vecina.

Patrón de espaciador SID 2D

El uso de SID se puede aplicar a matrices 2D, agregando iterativamente características equidistantes de las características previamente presentes, duplicando la densidad con cada iteración. [62] [63] Se pueden realizar cortes que no requieran un posicionamiento ajustado en esta cuadrícula generada por espaciadores. [64]

Patrón de espaciador triangular (estructura de panal)

Un patrón de panal permite triplicar la densidad para modelar las capas de DRAM.

Recientemente, Samsung demostró la creación de patrones de DRAM utilizando una estructura de panal (HCS) adecuada para 20 nm y más. [65] Cada iteración de creación de patrones de espaciadores triplica la densidad, lo que reduce efectivamente el paso 2D por un factor de sqrt(3). Esto es particularmente útil para DRAM ya que la capa de capacitores se puede adaptar a una estructura de panal, lo que hace que su creación de patrones sea más sencilla.

Patrón cuádruple autoalineado (.mw-parser-output .vanchor>:target~.vanchor-text{background-color:#b1d2ff}@media screen{html.skin-theme-clientpref-night .mw-parser-output .vanchor>:target~.vanchor-text{background-color:#0f4dc9}}@media screen and (prefers-color-scheme:dark){html.skin-theme-clientpref-os .mw-parser-output .vanchor>:target~.vanchor-text{background-color:#0f4dc9}}Plan de Calidad de la Seguridad Social)

SAQP basado en dos pasos SADP sucesivos En comparación con SADP, SAQP utiliza otro espaciador, lo que permite un mayor procesamiento autoalineado que permite una mayor reducción del paso, junto con la oportunidad de crear patrones flexibles.

El SADP se puede aplicar dos veces seguidas para lograr un despiece efectivo. Esto también se conoce como patrón cuádruple autoalineado (SAQP). Con el SAQP, la dimensión crítica (CD) de la característica principal, así como el espaciado entre dichas características, se definen mediante el primer o el segundo espaciador.

Se prefiere que el segundo espaciador defina características no conductoras [66] para opciones de corte o recorte más flexibles.

SAQP tiene ventajas en el enrutamiento de paso de 28 nm bidimensional (seguido de dos pasos de corte/recorte de grabado selectivo), en comparación con EUV, debido a las limitaciones de iluminación de este último. [67]

Autoensamblaje dirigido (DSA)

La DSA recombina las vías divididas. Dos vías que normalmente necesitarían exposiciones separadas (roja y azul) se pueden combinar mediante la ayuda de la DSA utilizando una única exposición de patrón guía (borde negro).

La cantidad de máscaras utilizadas para la creación de patrones de espaciadores de paredes laterales se puede reducir con el uso de autoensamblaje dirigido (DSA) debido a la provisión de cortes en cuadrícula todos a la vez dentro de un área impresa, que luego se puede seleccionar con una exposición final. [68] [64] Alternativamente, el patrón de corte en sí mismo se puede generar como un paso de DSA. [69] Asimismo, un diseño de división por pasos se puede recombinar en pares. [70]

Se ha informado de un gran progreso en el uso de copolímeros de bloques de PMMA-PS para definir patrones de menos de 20 nm mediante autoensamblaje, guiado por la topografía de la superficie (grafoepitaxia) y/o el patrón químico de la superficie (quimioepitaxia). [71] El beneficio clave es el procesamiento relativamente simple, en comparación con múltiples exposiciones o múltiples deposiciones y grabados. El principal inconveniente de esta técnica es el rango relativamente limitado de tamaños de características y ciclos de trabajo para una formulación de proceso dada. Las aplicaciones típicas han sido líneas y espacios regulares, así como matrices de agujeros o cilindros muy juntos. [72] Sin embargo, también se pueden generar patrones aleatorios y aperiódicos utilizando patrones guía cuidadosamente definidos. [73]

La rugosidad del borde de la línea en los patrones de copolímeros en bloque depende en gran medida de la tensión de la interfaz entre las dos fases, que a su vez depende del parámetro "chi" de Flory (χ). [74] Se prefiere un valor más alto de χ para una rugosidad reducida; el ancho de interfaz entre dominios es igual a 2a(6χ) −1/2 , donde a es la longitud estadística de la cadena de polímero. [75] Además, se requiere χN > 10,5 para una segregación de fases suficiente, donde N es el grado de polimerización (número de repeticiones de monómero en la cadena). Por otro lado, el semipaso es igual a 2(3/π 2 ) 1/3 aN 2/3 χ 1/6 . Las fluctuaciones de los anchos de los patrones en realidad dependen solo débilmente (raíz cuadrada) del logaritmo del semipaso, por lo que se vuelven más significativas en relación con semipasos más pequeños.

El DSA aún no se ha implementado en la fabricación debido a preocupaciones por defectos, donde una característica no aparece como se esperaba en el autoensamblaje guiado. [76]

Otras técnicas de multipatrones

Ha habido numerosas preocupaciones de que la creación de patrones múltiples disminuye o incluso revierte la reducción de costos de nodo a nodo esperada con la Ley de Moore . La EUV es más cara que tres exposiciones 193i (es decir, LELELE), considerando el rendimiento. [77] Además, la EUV es más propensa a imprimir defectos de máscara más pequeños que no se pueden resolver con 193i. [78] A continuación se analizan algunos aspectos de otras técnicas de creación de patrones múltiples consideradas.

Patrones triples autoalineados (SATP)

SATP ofrece una menor sensibilidad de superposición. SATP logra el mismo patrón que SID SADP pero con una menor sensibilidad de superposición para la máscara de corte/recorte.

El patrón triple autoalineado se ha considerado como un sucesor prometedor del SADP, debido a su introducción de un segundo espaciador que ofrece flexibilidad adicional en el patrón 2D y mayor densidad. [79] [80] Un total de dos máscaras (mandril y recorte) son suficientes para este enfoque. [81] El único costo adicional en relación con el SADP es el de depositar y grabar el segundo espaciador. La principal desventaja de que el SATP suceda al SADP es que solo se podría usar para un nodo. Por esta razón, el patrón cuádruple autoalineado (SAQP) se considera con más frecuencia. Por otro lado, el flujo SADP SID convencional se puede extender de manera bastante natural al patrón triple, con la segunda máscara dividiendo el espacio en dos características. [82]

Implantación de iones inclinados

Implantación de iones inclinada. Las regiones dañadas por iones actúan como regiones alineadas con las paredes laterales que se van a grabar. Un aspecto fundamental de este enfoque es la correlación entre el ancho y la distancia del daño; ambos se ensanchan al mismo tiempo para una altura de máscara de iones y un ángulo de haz de iones fijos.

La implantación de iones inclinados fue propuesta en 2016 por la Universidad de Berkeley como un método alternativo para lograr el mismo resultado que el patrón de espaciadores. [83] En lugar de patrones de núcleo o mandril que soportan espaciadores depositados, un patrón de capa de enmascaramiento de iones protege una capa subyacente de ser dañada por la implantación de iones, lo que lleva a ser grabada en un proceso posterior. El proceso requiere el uso de haces de iones en ángulo que penetran hasta la profundidad adecuada, para no dañar las capas ya procesadas debajo. Además, la capa de enmascaramiento de iones debe comportarse idealmente, es decir, bloqueando el paso de todos los iones, mientras que también no se refleja en la pared lateral. El último fenómeno sería perjudicial y frustraría el propósito del enfoque de enmascaramiento de iones. Se han logrado zanjas tan pequeñas como 9 nm con este enfoque, utilizando la implantación de iones Ar+ de 15 keV en ángulos de 15 grados en una capa de enmascaramiento térmico de SiO2 de 10 nm . Un aspecto fundamental de este enfoque es la correlación entre el ancho del daño y el paso del daño; Ambos se ensanchan al mismo tiempo para una altura de máscara de iones fija y un ángulo de haz de iones.

Exposiciones de polaridad complementaria

El método de exposiciones complementarias [84] es otra forma de reducir las exposiciones de máscara para patrones múltiples. En lugar de múltiples exposiciones de máscara para vías, cortes o bloques individuales, se utilizan dos exposiciones de polaridad opuesta o complementaria, de modo que una exposición elimina las partes interiores del patrón de exposición anterior. Las regiones superpuestas de dos polígonos de polaridad opuesta no se imprimen, mientras que las regiones no superpuestas definen ubicaciones que se imprimen de acuerdo con la polaridad. Ninguna de las exposiciones modela las características objetivo directamente. Este enfoque también fue implementado por IMEC como dos máscaras de "mantenimiento" para la capa M0A en su celda SRAM de 7 nm. [85]

Bloqueo o corte autoalineado

SADP con bloqueo/corte autoalineado. El bloqueo o corte autoalineado permite dividir las líneas en dos colores, debido al uso de dos materiales diferentes con distinta selectividad de grabado. Solo se pueden cortar líneas de un color con una exposición de máscara determinada.

Actualmente, se está buscando el uso de bloqueo o corte autoalineado con SAQP para pasos de menos de 30 nm. [86] Las líneas que se van a cortar se dividen en dos materiales, que se pueden grabar de forma selectiva. Una máscara de corte solo corta cada dos líneas hechas de un material, mientras que la otra máscara de corte corta las líneas restantes hechas del otro material. Esta técnica tiene la ventaja de crear patrones de características de doble paso sobre líneas en el paso mínimo, sin errores de colocación de bordes. [37] Los diseños que favorecen el corte se procesan con el mismo número mínimo de máscaras (3), independientemente de utilizar la longitud de onda DUV o EUV. [87]

Flujo SAQP para bloqueo/corte autoalineado El flujo de patrón cuádruple autoalineado (SAQP) puede adaptarse al bloqueo o corte autoalineado.

Múltiples posibilidades de modelado EUV

División del diseño EUV debido a diferentes iluminaciones. Este diseño consta de líneas verticales y horizontales que requieren dos iluminaciones diferentes optimizadas para cada una, ya que el diseño horizontal incluye líneas y espacios más anchos. En consecuencia, el diseño debe dividirse, incluso para la litografía EUV. Además, se prefieren exposiciones de corte adicionales para los espacios entre las puntas de las líneas (en un círculo).

Aunque se ha proyectado que la EUV será la litografía de próxima generación elegida, aún podría requerir más de una exposición litográfica, debido a la necesidad prevista de imprimir primero una serie de líneas y luego cortarlas; un solo patrón de exposición EUV tiene dificultades con el control del espaciado de extremo a extremo de las líneas. [12] Además, la ubicación del extremo de la línea se ve afectada significativamente por el ruido de disparo de fotones.

Las herramientas EUV de NA 0,33 existentes se ven desafiadas por debajo de una resolución de medio paso de 16 nm. [88] Los espacios de punta a punta son problemáticos para dimensiones de 16 nm. [89] En consecuencia, la creación de patrones EUV 2D está limitada a un paso de >32 nm. [88] Estudios recientes de optimización simultánea de las características de la máscara EUV y la forma de la iluminación han indicado que diferentes patrones en la misma capa de metal podrían requerir diferentes iluminaciones. [90] [91] [92] [93] [94] Por otro lado, una única exposición solo ofrece una única iluminación.

Por ejemplo, en una optimización de máscara de fuente de paso cruzado para un nodo de 7 nm, para un paso de 40-48 nm y un paso de 32 nm, la calidad determinada por la pendiente logarítmica de la imagen normalizada fue insuficiente (NILS<2), mientras que solo el paso de 36 nm fue apenas satisfactorio para una exposición única bidireccional. [13]

La situación subyacente es que los patrones EUV pueden dividirse según diferentes iluminaciones para diferentes tonos o diferentes tipos de patrones (por ejemplo, matrices escalonadas frente a matrices regulares). [90] Esto podría aplicarse a patrones de corte de línea, así como a capas de contacto/vía. También es probable que se necesite más de un corte, incluso para EUV. [95]

En el taller EUVL de 2016, ASML informó que las herramientas EUV NXE con una NA de 0,33 no serían capaces de generar patrones de exposición única estándar para el medio paso de 11 a 13 nm esperado en el nodo de 5 nm. [96] Una NA más alta de 0,55 permitiría generar patrones EUV con exposición única de campos que son la mitad del tamaño de campo estándar de 26 mm x 33 mm. [96] Sin embargo, algunos productos, como Pascal Tesla P100 de NVIDIA, [97] se dividirán en dos por el tamaño de medio campo y, por lo tanto, requerirán la unión de dos exposiciones separadas. [98] En cualquier caso, dos escaneos de medio campo consumen el doble de sobrecarga de aceleración/desaceleración que un solo escaneo de campo completo. [96] [99]

Los defectos estocásticos, incluido el error de colocación de bordes, también implican una formación de patrones doble (o mayor) para contactos/vías con una distancia de centro a centro de 40 nm o menos. [100] [101]

Implementaciones de patrones múltiples

Multipatrones no ideales: en este caso, el diseño se divide en tres partes, cada una de las cuales es difícil de visualizar. Cada parte contiene elementos de distintos tamaños y espaciados, así como orientaciones diferentes, lo que hereda los problemas del diseño original.

Los patrones de memoria ya se modelan mediante patrones cuádruples para NAND [102] y patrones cuádruples/dobles cruzados para DRAM [103] . Estas técnicas de modelado se alinean automáticamente y no requieren máscaras de corte o recorte personalizadas. Para DRAM y flash de 2 nm, las técnicas de doble patrón deberían ser suficientes.

El rendimiento actual de la litografía EUV es aún más de tres veces más lento que el de la litografía de inmersión de 193 nm, lo que permite ampliar esta última mediante la creación de patrones múltiples. Además, la falta de una película EUV también es prohibitiva.

A partir de 2016, Intel estaba usando SADP para su nodo de 10 nm; [104] sin embargo, a partir de 2017, el paso de metal mínimo de 36 nm ahora se está logrando mediante SAQP. [105] Intel está usando patrones triples para algunas capas críticas en su nodo de 14 nm, [106] que es el enfoque LELELE. [107] El patrón triple ya se demostró en la cinta de 10 nm, [108] y ya es una parte integral del proceso de 10 nm de Samsung. [109] TSMC está implementando 7 nm en 2017 con patrones múltiples; [110] específicamente, división de tono, [111] hasta un paso de 40 nm. [112] Más allá del nodo de 5 nm, los patrones múltiples, incluso con asistencia EUV, serían económicamente desafiantes, ya que el abandono de la exposición única EUV aumentaría aún más el costo. Sin embargo, al menos hasta el medio paso de 12 nm, LELE seguido de SADP (SID) parece ser un enfoque prometedor, utilizando solo dos máscaras y también utilizando las técnicas de doble patrón más maduras, LELE y SADP. [113]

Costos de modelado

Patrones múltiples optimizados en cuanto a color. Lo ideal es que los tres conjuntos de características de diferentes colores se distribuyan de la forma más uniforme posible y sigan un patrón uniforme.

Ref.: A. Raley et al., Proc. SPIE 9782, 97820F (2016).

En comparación con el SADP 193i, el costo del SADP EUV está dominado por la exposición de la herramienta EUV, mientras que la diferencia de costo del SAQP 193i se debe a las deposiciones y grabados agregados. Se espera que el costo de procesamiento y la pérdida de rendimiento en una herramienta litográfica sean más altos en todo el flujo de proceso integrado debido a la necesidad de mover la oblea a ubicaciones específicas a alta velocidad. El EUV sufre además del límite de ruido de disparo, que obliga a que la dosis aumente en los nodos sucesivos. [114] Por otro lado, las deposiciones y grabados procesan obleas enteras a la vez, sin la necesidad de movimiento de la etapa de oblea en la cámara de proceso. De hecho, se pueden agregar múltiples capas debajo de la capa de resistencia para fines de antirreflejo o de máscara dura de grabado, solo para la exposición única convencional.

Demostraciones de silicio publicadas

Prácticas de patrones múltiples de lógica/ASIC de vanguardia

Cuatro máscaras para dividir el paso mínimo por 3. Para crear un patrón con un tercio del paso mínimo de línea, se pueden utilizar 4 máscaras (cada una representada aquí por un color diferente). Este método también se conoce como "LELELELE" (4 veces la iteración de grabado litograbado [LE]). Como alternativa, se puede aplicar SADP con menos máscaras.

Incluso con la introducción de la tecnología EUV en algunos casos, se ha seguido implementando el patrón múltiple en la mayoría de las capas que se producen. Por ejemplo, Samsung sigue utilizando el patrón cuádruple para 7 nm. [120] El proceso de 7 nm+ de TSMC también utiliza EUV en un contexto de patrón múltiple. [123] De todos modos, solo unas pocas capas se ven afectadas; [124] muchas siguen utilizando el patrón múltiple convencional.

Costos de las mascarillas

El costo de la máscara se beneficia considerablemente del uso de patrones múltiples. La máscara de exposición única EUV tiene características más pequeñas que tardan mucho más en escribirse que la máscara de inmersión. Aunque las características de la máscara son 4 veces más grandes que las características de la oblea, la cantidad de disparos aumenta exponencialmente para características mucho más pequeñas. Además, las características sub-100 nm en la máscara también son mucho más difíciles de modelar, con alturas de absorción de ≈70 nm. [125]

Productividad de obleas

Nota: WPM = WPH * # herramientas * tiempo de actividad / # pases * 24 hrs/día * 30 días/mes. WPM normalizado = WPM/(WPM para EUV 1 pase)

Se puede esperar que la creación de patrones múltiples con escáneres de inmersión tenga una mayor productividad de obleas que la EUV, incluso con hasta 4 pasadas por capa, debido a un mayor rendimiento de exposición de obleas (WPH), una mayor cantidad de herramientas disponibles y un mayor tiempo de actividad.

Problemas específicos de patrones múltiples

Se prohíben los cortes diagonales. Los cortes en línea diagonal están prohibidos debido a su apariencia distorsionada de "esquinas que se besan". Su uso se desaconseja incluso con patrones simples EUV.

La formación de patrones múltiples implica el uso de muchos pasos de procesamiento para formar una capa estampada, donde convencionalmente solo una exposición litográfica, una secuencia de deposición y una secuencia de grabado serían suficientes. En consecuencia, hay más fuentes de variaciones y posible pérdida de rendimiento en la formación de patrones múltiples. Cuando se involucra más de una exposición, por ejemplo, LELE o exposiciones de corte para SAQP, la alineación entre las exposiciones debe ser lo suficientemente ajustada. Las capacidades de superposición actuales son ≈0,6 nm para exposiciones de igual densidad (por ejemplo, LELE) y ≈2,0 nm para líneas densas frente a cortes/vías (por ejemplo, SADP o SAQP) en herramientas dedicadas o coincidentes. [127] Además, cada exposición debe cumplir con los objetivos de ancho especificados. Cuando se involucran espaciadores, el ancho del espaciador depende de la deposición inicial, así como de la duración del grabado posterior. Cuando se involucra más de un espaciador, cada espaciador puede introducir su propia variación de ancho. El error de superposición de la ubicación del corte también puede distorsionar los extremos de las líneas (lo que provoca arcos) o infringir una línea adyacente. [16] [17] [18]

Métodos de creación de patrones mixtos

La creación de patrones múltiples está evolucionando hacia una combinación de exposiciones múltiples, creación de patrones con espaciadores y/o EUV. En particular, dado que el escalado de punta a punta es difícil en una sola exposición con las herramientas EUV actuales, [12] puede ser necesario un enfoque de corte de línea. IMEC informó que la creación de patrones dobles se está convirtiendo en un requisito para EUV. [128]

Selección de la ubicación de corte de la cuadrícula. Al definir primero las ubicaciones de corte en una cuadrícula, se elimina el efecto del error de superposición en la forma del corte. Arriba: cuadrícula que define las ubicaciones de corte. Los puntos de intersección de las líneas rojas con las líneas metálicas subyacentes (azules) definen las ubicaciones permitidas. Abajo: selección de las ubicaciones de corte.

Para la formación de patrones de línea, SADP/SAQP podría tener la ventaja sobre la exposición EUV, debido al costo y la madurez del primer enfoque y los problemas estocásticos de características faltantes o de puenteo del último. [133] Para la formación de patrones de ubicación de cuadrícula, una única exposición DUV después de la formación de la cuadrícula también tiene las ventajas de costo y madurez (por ejemplo, la litografía de inmersión puede no ser necesaria para la formación de patrones de espaciador en algunos casos) y no hay preocupaciones estocásticas asociadas con EUV. La selección de la ubicación de la cuadrícula tiene una ventaja sobre el corte de puntos directo porque este último es sensible a los errores de superposición y de ubicación de bordes estocásticos, que pueden distorsionar los extremos de la línea. [16] [17]

SALELE (Litografía-Grabado-Litografía-Grabado autoalineado. Vista en planta de los pasos del proceso SALELE, tomados en conjunto.

El litograbado-litograbado autoalineado (SALELE) es una técnica híbrida SADP/LELE cuya implementación ha comenzado en 7 nm [134] y continúa su uso en 5 nm. [135]

Mejoras de productividad mediante patrones múltiples

Desde 2017, varias publicaciones han indicado formas de mejorar la productividad de la creación de patrones múltiples. El bloqueo autoalineado permite que los patrones de bloqueo o corte crucen líneas adyacentes. [136] La redistribución de cortes permite ajustar las distancias entre cortes para minimizar la cantidad de máscaras de corte. [137] [138] Estas técnicas también se pueden combinar con vías autoalineadas, descritas anteriormente. [139]

Adopción industrial

La evolución de los patrones múltiples se está considerando en paralelo con el surgimiento de la litografía EUV. Si bien la litografía EUV satisface una resolución de 10 a 20 nm según consideraciones ópticas básicas, la aparición de defectos estocásticos [140], así como otras brechas de infraestructura y consideraciones de rendimiento, impiden su adopción en la actualidad. En consecuencia, las cintas de 7 nm se han realizado en gran medida sin EUV. [141] En otras palabras, los patrones múltiples no son prohibitivos, sino más bien una molestia y un gasto creciente.

FinFET de 7 nm y 5 nm

El patrón cuádruple autoalineado (SAQP) ya es el proceso establecido que se utiliza para el patrón de aletas para FinFET de 7 nm y 5 nm . [142] Con SAQP, cada paso de patrón proporciona un valor de uniformidad de dimensión crítica (CDU) en el rango subnanómetro (3 sigma). Entre los fabricantes de lógica/fundición, solo Intel está aplicando SAQP a las capas de metal, a partir de 2017. [143]

El multipatrón agresivo con DUV solo se puede aplicar a 5 nm. [144]

Nodo de 3 nm

El multipatrón agresivo con DUV se puede aplicar incluso a 3 nm. [145] Debido al mayor costo del multipatrón EUV, el multipatrón DUV ya no tiene una desventaja de costo. La reducción agresiva de máscara puede eliminar esencialmente la diferencia de número de máscara entre DUV y EUV para el patrón BEOL. [146]

DRACMA

Al igual que la memoria flash NAND, la memoria DRAM también ha hecho uso regular de patrones múltiples. Aunque las áreas activas forman una matriz bidimensional, una máscara de corte es suficiente para 20 nm. [147] Además, la máscara de corte se puede utilizar simultáneamente para crear patrones en la periferia y, por lo tanto, no se consideraría una máscara adicional. [148] Cuando el paso largo del área activa es ~3,5 x el paso corto, las roturas en el área activa forman una matriz hexagonal, que es susceptible al patrón de espaciador de red triangular mencionado anteriormente. Samsung ya ha comenzado a fabricar la memoria DRAM de 18 nm. [149] Se pueden utilizar exposiciones múltiples para el enrutamiento del metal de la periferia de la memoria DRAM, pero esto también es innecesario, ya que un enfoque de triple espaciador ofrece una reducción de paso de 1/5. [150]

Memoria flash NAND

La memoria flash NAND planar tenía varias capas que usaban SADP con un paso por debajo de los 80 nm y SAQP con un paso por debajo de los 40 nm.

La memoria flash NAND 3D utilizaba SADP para algunas capas. Si bien no escala tan agresivamente en sentido lateral, el uso del apilamiento de cadenas en NAND 3D implicaría el uso de patrones múltiples (estilo litograbado) para diseñar los canales verticales.

Por lo general, para NAND, SADP crea un conjunto de líneas a partir de una máscara de núcleo, seguido del uso de una máscara de recorte para eliminar los extremos de los bucles y la conexión de las almohadillas con una tercera máscara. [151]

Multipatrones EUV

Multipatrón DUV vs. EUV. Por debajo de los 40 nm de paso, se espera que EUV requiera multipatrón debido a la necesidad de mantener una distancia suficientemente pequeña entre los extremos de las líneas, sin destruir partes de las líneas intermedias. La cantidad de máscaras necesarias puede coincidir con la de DUV, como para este patrón objetivo.
Multipatrón con una distancia entre ejes de 28 nm. Con una distancia entre ejes de ~30 nm, tanto el método DUV como el EUV requieren múltiples patrones.

No se descarta la posibilidad de crear patrones múltiples EUV, especialmente para el nodo de 5 nm. Esto se debe a varias razones. En primer lugar, está la especificación de ajuste de punta a punta (T2T), que representa la distancia mínima entre los extremos de las líneas metálicas. [152] Además, la distancia entre los cortes no debe ser demasiado pequeña como para exponer partes de las líneas intermedias.

Cuando el paso mínimo se reduce a 32 nm o menos, los defectos estocásticos son lo suficientemente frecuentes [153] como para reconsiderar el patrón doble en anchos de diseño mayores.

En pasos de ~30 nm o menos, la iluminación también se limita a rellenos de pupila extremadamente bajos por debajo del 20 %, [154] [155] lo que hace que una parte significativa de la potencia de la fuente EUV no se utilice, lo que reduce considerablemente el rendimiento.

Por lo tanto, la creación de patrones múltiples para EUV con reglas de diseño más amplias es actualmente una consideración práctica tanto por razones de rendimiento como de productividad.

Referencias

  1. ^ Procesador Intel de 45 nm
  2. ^ Poliéster cortado de 28 nm de TSMC
  3. ^ Chao, Weilun; Kim, Jihoon; Anderson, Erik H.; Fischer, Peter; Rekawa, Senajith; Attwood, David T. (9 de enero de 2009). Procesos HSQ de doble patrón de placas zonales para difracción de 10 nm de rendimiento limitado. 53.° Simposio internacional sobre haces de electrones, iones y fotones y nanolitografía, Marco Island, Florida, 26 al 29 de mayo de 2009.
  4. ^ Duan, Huigao; Winston, Donald; Yang, Joel KW; Cord, Bryan M.; Manfrinato, Vitor R.; Berggren, Karl K. (noviembre de 2010). "Litografía por haz de electrones de medio paso sub-10 nm utilizando poli(metacrilato de metilo) como resistencia negativa" (PDF) . Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena . 28 (6): C6C58–C6C62. Bibcode :2010JVSTB..28C..58D. doi :10.1116/1.3501353. hdl : 1721.1/73447 . Archivado desde el original (PDF) el 19 de enero de 2012.
  5. ^ DC Flanders; NN Efremow (1983). "Generación de rejillas con un período de <50 nm utilizando técnicas de bordes definidos". Journal of Vacuum Science & Technology B : 1105–1108.
  6. ^ Chris Bencher; Yongmei Chen; Huixiong Dai; Warren Montgomery; Lior Huli (2008). "Trazado de patrones de medio paso a 22 nm mediante el trazado de patrones dobles de autoalineación del espaciador CVD (SADP)". Microlitografía óptica XXI . 6924 . Microlitografía óptica XXI; 69244E: 69244E. Código Bibliográfico :2008SPIE.6924E..4EB. doi :10.1117/12.772953. S2CID  121968664.
  7. ^ A. Vanleenhove; D. Van Steenwinckel (2007). Flagello, Donis G (ed.). "Un enfoque exclusivamente litográfico para el doble patrón". Serie de conferencias de la Society of Photo-Optical Instrumentation Engineers (Spie) . Microlitografía óptica XX. 6520. Microlitografía óptica XX; 65202F: 65202F. Código Bibliográfico :2007SPIE.6520E..2FV. doi :10.1117/12.713914. S2CID  119829809.
  8. ^ "Ganadores del premio IEEE Andrew S. Grove". Premio IEEE Andrew S. Grove . Instituto de Ingenieros Eléctricos y Electrónicos . Archivado desde el original el 9 de septiembre de 2018. Consultado el 4 de julio de 2019 .
  9. ^ "Micron nombrada entre los 100 principales innovadores mundiales por sexto año consecutivo". Micron Technology . 2018-02-15 . Consultado el 5 de julio de 2019 .
  10. ^ D. De Simone, A. Singh, G. Vandenberghe, Proc. SPIE 10957, 109570Q (2019).
  11. ^ Milan Vala; Jiri Homola (2014). "Método flexible basado en litografía de interferencia de cuatro haces para la fabricación de grandes áreas de matrices plasmónicas perfectamente periódicas". Optics Express . 22 (15): 18778–18789. doi : 10.1364/OE.22.018778 .
  12. ^ abc van Setten, Eelco; Wittebrood, Friso; et al. (4 de septiembre de 2015). "Opciones de diseño para la lógica N7: perspectivas y desafíos para EUV". En Behringer, Uwe FW; Finders, Jo (eds.). Proc. SPIE 9661, 31.ª Conferencia Europea de Máscaras y Litografía . 31.ª Conferencia Europea de Máscaras y Litografía, 22-23 de junio de 2015, Eindhoven, Países Bajos. Art. 96610G. Código Bibliográfico :2015SPIE.9661E..0GV. doi :10.1117/12.2196426. S2CID  106609033.
  13. ^ ab RH. Kim y col. , Proc. SPIE vol. 9776, 97761R (2016).
  14. ^ RL Jones y JD Byers, Proc. SPIE 5040, 1035 (2003).
  15. ^ S. Kobayashi y otros, Proc. SPIE 6521, 65210B (2007).
  16. ^ abcd R. Kotb y otros, Proc. SPIE 10583, 1058321 (2018).
  17. ^ abc Y. Borodovsky (2012). Litografía EUV en la inserción y más allá (PDF) . Taller internacional sobre litografía EUV.
  18. ^ desde LT-N. Wang y col., Proc. SPIE 9781, 97810B (2016).
  19. ^ CA Mack, Proc. SPIE 4226, 83 (2000).
  20. ^ McLellan, Paul (2017). "TSMC @ N7 con Cadence". Blogs de la comunidad Cadence .
  21. ^ CT Bodendorf, Proc. SPIE 5992, 599224 (2005).
  22. ^ M. Burkhardt y otros, Proc. SPIE 6520, 65200K (2007).
  23. ^ E. Hendrickx y otros, Proc. SPIE 6924, 69240L (2008).
  24. ^ WN Partlo y col., Prof. SPIE 1927, 137 (1993).
  25. ^ T. Winkler y otros, Proc. SPIE 5754, 1169 (2005).
  26. ^ Y. Chen y otros, J. Vac. Sci. & Tech. B 35, 06G601 (2017).
  27. ^ K. Tsujita y otros, Proc. SPIE 6520, 652036 (2007).
  28. ^ T. Winkler y otros, Proc. SPIE 5754, 1169 (2005).
  29. ^ desde K. Tian y col., Proc. SPIE 7274, 72740C (2009).
  30. ^ Incompatibilidades de paso de patrones de metal en periferia de DRAM
  31. ^ Una combinación de tonos prohibida en Advanced Lithography Nodes
  32. ^ FM Schellenberg et al., DAC 2001, Adopción de OPC y su impacto en el diseño y la disposición.
  33. ^ V. Wiaux y otros, Proc. SPIE 5040, 270 (2003).
  34. ^ B. Bilski y otros, Proc. SPIE 10466, 1046605 (2017).
  35. ^ Memoria RAM Samsung de 80 nm
  36. ^ Cuando la litografía requiere múltiples patrones: cómo evitar distorsiones analógicas en la capa de resistencia
  37. ^ ab Grabado selectivo de características en SAQP para patrones de menos de 20 nm
  38. ^ por N. Singh y M. Mukherjee-Roy, Proc. SPIE vol. 4691, 1054 (2002).
  39. ^ J. Garofalo y otros, J. Vac. Sci. & Tech. B 11, 2651 (1993).
  40. ^ T. Matsuda y otros, Proc. SPIE 7973, 797316 (2011).
  41. ^ Número de 45 nm de Intel Technology Journal
  42. ^ Cómo los cortes de línea se convirtieron en pasos necesariamente separados en la litografía
  43. ^ M. Eurlings y otros, Proc. SPIE 4404, 266 (2001).
  44. ^ Desaparición de la mitad de los coeficientes de Fourier en matrices escalonadas
  45. ^ Iluminación optimizada para patrones de corte de metal denso
  46. ^ Variabilidad estocástica EUV en cortes de línea
  47. ^ S-Min Kim y otros, Proc. SPIE 6520, 65200H (2007).
  48. ^ Y. Kohira y col., Proc. SPIE 9053, 90530T (2014).
  49. ^ Litografía específica de la aplicación: patrones de metal de amplificadores de detección y controladores de sublíneas de palabras en DRAM
  50. ^ "Nanoelectrónica basada en escalabilidad: Resiste". Archivado desde el original el 23 de marzo de 2010.
  51. ^ LaPedus, Mark (13 de marzo de 2008). "JSR muestra 'material congelado' para producción a 22 nm". Archivado desde el original el 15 de julio de 2014.
  52. ^ X. Hua y otros, J. Vac. Sci. Tech. B, vol. 24, págs. 1850-1858 (2006).
  53. ^ YK Choi y col., J. Phys. Chem. B, vol. 107, págs. 3340-3343 (2003).
  54. ^ Chao, Robin; Kohli, Kriti K.; Zhang, Yunlin; Madan, Anita; Muthinti, Gangadhara Raja; Hong, Augustin J.; Conklin, David; Holt, Judson; Bailey, Todd C. (1 de enero de 2014). "Métodos de metrología multitécnica para evaluar el movimiento de cabeceo en FinFET de 14 nm y más allá". Revista de micro/nanolitografía, MEMS y MOEMS . 13 (4): 041411. Código Bibliográfico :2014JMM&M..13d1411C. doi :10.1117/1.JMM.13.4.041411. ISSN  1932-5150. S2CID  122374784.
  55. ^ I. Bouchoms y col. , Proc. SPIE 7274, 72741K (2009).
  56. ^ Patente de EE. UU. 6165880, asignada a TSMC.
  57. ^ Y. Loquet y col. , Microeléctrico. Ing. 107, 138 (2013).
  58. ^ Patente de EE. UU. 8813012, asignada a Synopsys.
  59. ^ ab R. Brain y col. , IITC 2009.
  60. ^ P. Packan y otros , IEDM 2009.
  61. ^ Ref.: Patente de EE. UU. 8312394, asignada a Synopsys.
  62. ^ K. Oyama y otros, Proc. SPIE 9051, 90510V (2014).
  63. ^ B. Mebarki et al. , Patente de EE. UU. 8.084.310, asignada a Applied Materials.
  64. ^ ab MC Smayling y col. , Proc. SPIE 8683, 868305 (2013).
  65. ^ Parque JM y col. , IEDM 2015, 676 (2015).
  66. ^ Asignaciones de funciones para los espaciadores en SAQP
  67. ^ Litografía específica para aplicaciones: enrutamiento bidimensional con paso de 28 nm
  68. ^ Sinopsis Presentación en Semicon West 2013 Archivado el 24 de septiembre de 2015 en Wayback Machine.
  69. ^ Z. Xiao y otros. , Proc. SPIE 8880, 888017-3 (2013).
  70. ^ J. Bekaert y otros, Proc. SPIE 9658, 965804 (2015).
  71. ^ SH Park y otros. , Materia blanda, 6, 120-125 (2010).
  72. ^ CG Hardy y C. Tang, J. Polymer Sci. Pt. B: Polymer Phys., vol. 51, págs. 2-15 (2013).
  73. ^ LW. Chang et al. IEDM 2010 Technical Digest, 752-755 (2010).
  74. ^ Informe NIST 2011 sobre LER en DSA PS-b-PMMA
  75. ^ AN Semenov, Macromoléculas 26, 6617 (1993).
  76. ^ A. Gharbi y otros, Proc. SPIE 9777, 97770T (2016).
  77. ^ D. Civay y col. , J. Micro/Nanolith. MEMS MOEMS 14, 023501 (2015).
  78. ^ K. Seki y col. , Proc. SPIE 9658, 96580G (2015).
  79. ^ Patente de EE. UU. 7842601, asignada a Samsung.
  80. ^ Y. Chen y col. , Proc. SPIE 7973, 79731P (2011).
  81. ^ M. Mirsaeedi y otros , IEEE Trans. VLSI Syst. 22, 1170 (2014).
  82. ^ Patente de EE. UU. 7846849, asignada a Applied Materials.
  83. ^ SW Kim y otros, JVST B 34, 040608 (2016).
  84. ^ ab FT Chen et al. , Proc. SPIE 8326, 83262L (2012).
  85. ^ S. Sakhare y otros, Proc. SPIE 9427, 94270O (2015).
  86. ^ N. Mohanty y otros, Proc. SPIE 10147, 1014704 (2017).
  87. ^ Requisito de duplicación del paso de grabado para diseños de vías de metal que favorezcan el corte: cómo escapar de la dependencia de la longitud de onda de la litografía
  88. ^ ab tuberculosis. Chiou et al. , Proc. SPIE 9781, 978107 (2016).
  89. ^ T. H-Bao et al. , Proc. SPIE 9781, 978102 (2016).
  90. ^ ab Y. Chen y col., J. Vac. Sci. Tech. B35, 06G601 (2017).
  91. ^ M. Crouse y col. , Proc. SPIE 10148, 101480H (2017).
  92. ^ W. Gillijns y col. , Proc. SPIE 10143, 1014314 (2017).
  93. ^ T. Last y col. , Proc. SPIE 10143, 1014311 (2017).
  94. ^ S. Hsu y col. , Proc. SPIE 9422, 94221I (2015).
  95. ^ Merritt, Rick (7 de octubre de 2015). "Luces de prueba de 5 nm Litho Path Hybrid 193i, EUV consideradas como la mejor opción". EETimes .
  96. ^ abc ASML EUV de alta NA
  97. ^ Se presenta NVIDIA Pascal Tesla P100: 15.3 mil millones de transistores en una matriz de 16 nm y 610 mm2
  98. ^ Microlitografía: ciencia y tecnología , 2.ª ed., BW Smith y K. Suzuki (eds.), CRC Press, 2007, pág. 94.
  99. ^ Manual de tecnología de fabricación de semiconductores , Y. Nishi y R. Doering (eds.), CRC Press, 2000, pág. 475.
  100. ^ Litografía específica para cada aplicación: separación de vías para 5 nm y más
  101. ^ W. Gao y otros, Proc.SPIE 11323, 113231L (2020).
  102. ^ NAND Hynix M1X
  103. ^ Patente estadounidense 9318369, asignada a Samsung.
  104. ^ Intel habla de los 10 nm
  105. ^ Intel presenta el procesador de 10 nm
  106. ^ Intel pasa a los 7 nm sin EUV
  107. ^ "El accidentado camino hacia los FinFET de 10 nm". 21 de mayo de 2015.
  108. ^ El patrón triple se está volviendo común en 10 nm
  109. ^ Samsung anuncia el proceso FinFET de 10 nm para SoC
  110. ^ "Semimd - Revista de salud y fitness 2020". 10 de noviembre de 2023.
  111. ^ SY Wu et al., IEDM 2016, artículo 2.7.
  112. ^ ab IEDM 2016 Preparando el terreno para el 7/5 nm
  113. ^ FT Chen y col. , Proc. SPIE 8683, 868311 (2013).
  114. ^ FT Chen y col. , Proc. SPIE vol. 8326, 82362L (2012).
  115. ^ abc "Proceso de litografía de 14 nm - WikiChip".
  116. ^ ab [1] A. Yeoh et al., CITI 2018.
  117. ^ Solicitud de patente de EE. UU. 20150179513
  118. ^ Swati Chavan; Jayesh Prajapati; Akash Verma. "Un enfoque heurístico para corregir las violaciones de la verificación de reglas de diseño (DRC) en diseños ASIC con tecnología FinFET de 7 nm". Diseño y reutilización .
  119. ^ TSMC en 2017
  120. ^ ab J. Kim y otros, Proc. SPIE 10962, 1096204 (2019).
  121. ^ Compatibilidad de calibres 8LPP y 7LPP
  122. ^ ab [2] H. Rhee y col., 2018 Symp. VLSI Tech., 217.
  123. ^ Mentor amplía el soporte de FinFET Plus de 7 nm
  124. ^ Conferencia de resultados del segundo trimestre de 2019 de TSMC
  125. ^ RR Haque y col. , Proc. SPIE 9776, 97760F (2016).
  126. ^ Samsung recibe 24 herramientas de inmersión de ASML en 2010
  127. ^ Ampliación de la litografía de inmersión a nodos de 1xnm
  128. ^ Los errores del EUV bajo ataque
  129. ^ ab MC Smayling y otros, Proc. SPIE 8683, 868305 (2013).
  130. ^ ab Patente de EE. UU. 9240329
  131. ^ ab Solicitud de patente de EE. UU. 20170221902
  132. ^ Patente de EE. UU. 8697538
  133. ^ P. Bisschop y E. Hendrickx, Proc. SPIE 10583, 105831K (2018).
  134. ^ Q. Lin, Actas SPIE 11327, 113270X (2020).
  135. ^ Patrón doble SALELE para nodos de 7 nm y 5 nm
  136. ^ F. Lazzarino y otros, Proc. SPIE 10149, 1014908 (2017)
  137. ^ Z. Xiao y otros, Proc. SPIE 8880, 888017 (2013).
  138. ^ Redistribución y expansión de bloques autoalineados para mejorar la productividad de patrones múltiples
  139. ^ JH. Franke y col., Proc. SPIE 10145, 1014529 (2017).
  140. ^ P. De Bisschop y E. Hendrickx, Proc. SPIE 10583, 105831K (2018).
  141. ^ TSMC cancela su producción en 2018
  142. ^ SAQP para FinFET
  143. ^ Liderazgo de Intel en 14 nm
  144. ^ Q. Wu y otros, CSTIC 2023.
  145. ^ Ampliación del multipatrón DUV hasta los 3 nm
  146. ^ Reducción de máscara BEOL mediante vías y cortes definidos por espaciadores
  147. ^ YS. Kang y col., J. Micro/Nanolith. MEMS MOEMS vol. 15(2), 021403 (2016).
  148. ^ Patente de EE. UU. 7253118.
  149. ^ Memoria RAM Samsung de 18 nm
  150. ^ Patrón de triple espaciador para la periferia de la DRAM metálica
  151. ^ H. Dai y otros, Proc. SPIE 7275, 72751E (2009).
  152. ^ J. Van Schoot y otros, Proc. SPIE 11147, 1114710 (2019).
  153. ^ S. Kang y otros, Proc. SPIE 11611, 1161129 (2021).
  154. ^ D. Rio y otros, Proc. SPIE 11609, 116090T (2021).
  155. ^ JH. Franke y col., Proc. SPIE 11517, 1151716 (2020).