stringtranslate.com

Litografía de última generación

Litografía de próxima generación o NGL es un término utilizado en la fabricación de circuitos integrados para describir las tecnologías de litografía en desarrollo que pretenden reemplazar las técnicas actuales. Impulsada por la ley de Moore en las industrias de semiconductores, continúa la reducción del tamaño del chip y de la dimensión crítica. El término se aplica a cualquier método de litografía que utilice una luz o un tipo de haz de longitud de onda más corta que el estado actual de la técnica, como la litografía de rayos X , la litografía por haz de electrones , la litografía por haz de iones enfocado y la litografía por nanoimpresión . El término también puede usarse para describir técnicas que logran características de resolución más fina a partir de una longitud de onda de luz existente.

Muchas tecnologías que alguna vez se denominaron "próxima generación" han entrado en producción comercial, y la fotolitografía al aire libre, con luz visible proyectada a través de fotomáscaras dibujadas a mano, ha progresado gradualmente hacia la litografía de inmersión UV profunda utilizando corrección óptica de proximidad , tecnología de litografía inversa , fuera del eje. Iluminación , máscaras de cambio de fase , patrones dobles y patrones múltiples . A finales de la década de 2010, la combinación de muchas de estas técnicas pudo lograr características del orden de 20 nm con el láser excimer ArF de longitud de onda de 193 nm en los procesos de 14 nm , 10 nm y 7 nm , aunque a costa de agregar procesamiento. pasos y por lo tanto costo.

La litografía ultravioleta extrema (EUV) de 13,5 nm , considerada durante mucho tiempo una de las principales candidatas para la litografía de próxima generación, comenzó a entrar en producción comercial en masa en 2018. [1] A partir de 2021, Samsung y TSMC estaban incorporando gradualmente la litografía EUV a sus líneas de producción. a medida que resultó económico reemplazar múltiples pasos de procesamiento con pasos EUV únicos. A principios de la década de 2020, muchas técnicas EUV todavía están en desarrollo y quedan muchos desafíos por resolver, lo que posiciona a la litografía EUV como en transición de la "próxima generación" al "estado de la técnica".

Los candidatos para la litografía de próxima generación más allá de EUV incluyen la litografía de rayos X , la litografía por haz de electrones , la litografía por haz de iones enfocado , la litografía por nanoimpresión y la litografía cuántica . Varias de estas tecnologías han experimentado períodos de popularidad, pero han quedado superadas por las continuas mejoras de la fotolitografía. La litografía por haz de electrones fue más popular durante la década de 1970, pero fue reemplazada en popularidad por la litografía de rayos X durante la década de 1980 y principios de la de 1990, y luego por la litografía EUV desde mediados de la década de 1990 hasta mediados de la década de 2000. La litografía con haz de iones enfocados se ha hecho un hueco en el área de la reparación de defectos. La popularidad de Nanoimprint está aumentando y está posicionada para suceder a EUV como la opción más popular [ cita necesaria ] para la litografía de próxima generación, debido a su simplicidad inherente y bajo costo de operación, así como su éxito en LED , unidad de disco duro y microfluidos. sectores.

El ascenso y la caída de la popularidad de cada candidato a NGL ha dependido en gran medida de su capacidad de rendimiento y su costo de operación e implementación. La litografía por haz de electrones y por nanoimpresión está limitada principalmente por el rendimiento, mientras que la litografía EUV y por rayos X está limitada por los costos de implementación y operación. La proyección de partículas cargadas (iones o electrones) a través de máscaras de estarcido también se consideró popularmente a principios de la década de 2000, pero finalmente fue víctima de un bajo rendimiento y de dificultades de implementación.

Asuntos

Problemas fundamentales

Independientemente de si se utiliza NGL o fotolitografía, el último paso es el grabado del polímero (resist). En última instancia, la calidad (rugosidad) y la resolución de este grabado polimérico limitan la resolución inherente de la técnica de litografía. La litografía de próxima generación también suele utilizar radiación ionizante , lo que genera electrones secundarios que pueden limitar la resolución a > 20 nm. [2] [3] [4] Los estudios también han encontrado que para que NGL alcance los objetivos de LER (rugosidad del borde de la línea), se deben encontrar formas de controlar variables como el tamaño del polímero, el contraste de la imagen y el contraste resistente. [5]

Problemas de mercado

La competencia antes mencionada entre NGL y la extensión recurrente de la fotolitografía, donde esta última gana consistentemente, puede ser más una cuestión estratégica que técnica. Si una tecnología de NGL altamente escalable estuviera disponible, los usuarios tardíos de la tecnología de punta tendrían inmediatamente la oportunidad de superar el uso actual de técnicas de fotolitografía avanzadas pero costosas, a expensas de los primeros en adoptar la tecnología de punta, que han sido los inversores clave en NGL. Si bien esto nivelaría el campo de juego, es lo suficientemente disruptivo para el panorama de la industria que las principales empresas de semiconductores probablemente no querrían que esto suceda.

El siguiente ejemplo aclararía esto. Supongamos que la empresa A fabrica hasta 28 nm, mientras que la empresa B fabrica hasta 7 nm, ampliando su capacidad de fotolitografía mediante la implementación de patrones dobles. Si se implementara un NGL para el nodo de 5 nm, ambas empresas se beneficiarían, pero la empresa A que actualmente fabrica en el nodo de 28 nm se beneficiaría mucho más porque inmediatamente podría usar el NGL para fabricar con todas las reglas de diseño desde 22 nm hacia abajo. a 7 nm (omitiendo todos los patrones múltiples mencionados), mientras que la empresa B solo se beneficiaría a partir del nodo de 5 nm, ya que ha gastado mucho en extender la fotolitografía desde su proceso de 22 nm hasta 7 nm. La brecha entre la Compañía B, cuyos clientes esperan que avance a la vanguardia, y la Compañía A, cuyos clientes no esperan una hoja de ruta igualmente agresiva, seguirá ampliándose a medida que se retrase la NGL y se extienda la fotolitografía a un costo cada vez mayor, lo que hará que El despliegue de NGL es cada vez menos atractivo estratégicamente para la empresa B. Con el despliegue de NGL, los clientes también podrán exigir precios más bajos para los productos fabricados en generaciones avanzadas.

Esto queda más claro al considerar que cada técnica de mejora de la resolución aplicada a la fotolitografía generalmente extiende la capacidad en sólo una o dos generaciones. Por esta razón, la observación de que "la litografía óptica vivirá para siempre" [6] probablemente se mantendrá, ya que los primeros en adoptar tecnología de punta nunca se beneficiarán de tecnologías de litografía altamente escalables en un entorno competitivo.

Por lo tanto, existe una gran presión para implementar un NGL lo antes posible, pero en última instancia, el NGL puede realizarse en forma de fotolitografía con patrones múltiples más eficientes , como el autoensamblaje dirigido o la reducción de corte agresiva.

Ver también

Referencias

  1. ^ Tallis, Anton Shilov, Billy. "Samsung inicia la producción en masa de chips utilizando su tecnología de proceso EUV de 7 nm". www.anandtech.com .{{cite web}}: CS1 maint: multiple names: authors list (link)
  2. ^ "KW Lee et al., J. Kor. Phys. Soc. 55, 1720 (2009)". Archivado desde el original el 22 de julio de 2011.
  3. ^ Chen, Fred. "Las complejidades de los límites de resolución de la litografía avanzada".
  4. ^ "Las complejidades de los límites de resolución de la litografía avanzada". www.linkedin.com .
  5. ^ L. Brainard, Robert; G. Barclay, George; H.Anderson, Erik; E. Ocola, Leonidas (julio de 2002). «Resiste para la litografía de próxima generación» (PDF) . Ingeniería Microelectrónica . 61–62: 707–715. doi :10.1016/S0167-9317(02)00564-6. S2CID  56089439.
  6. ^ TA Brunner, J. Vac. Ciencia. Tecnología. B , vol. 21, págs. 2632-2637 (2003).