stringtranslate.com

Litografía ultravioleta extrema

La litografía ultravioleta extrema ( EUVL , también conocida simplemente como EUV ) es una nueva tecnología utilizada en la industria de semiconductores para la fabricación de circuitos integrados (CI). Es un tipo de fotolitografía que utiliza luz ultravioleta extrema (EUV) para crear patrones intrincados en obleas de silicio .

A partir de 2023 , ASML Holding es la única empresa que produce y vende sistemas EUV para la producción de chips, dirigidos a nodos de proceso de 5 nanómetros (nm) y 3 nm .

Las longitudes de onda EUV que se utilizan en EUVL están cerca de 13,5  nanómetros (nm), utilizando un plasma de gotas de estaño (Sn) pulsado por láser (los iones Sn en los estados iónicos de Sn IX a Sn XIV dan picos espectrales de emisión de fotones alrededor de 13,5 nm desde 4p 6 4d n - 4p 5 4d n+1 + 4d n-1 4f transiciones de estado iónico [1] ), para producir un patrón mediante el uso de una fotomáscara reflectante para exponer un sustrato cubierto por fotoprotector .

En la Reunión Internacional de Dispositivos Electrónicos (IEDM) de 2019, TSMC informó el uso de EUV para su nodo de 5 nm en contacto, vía, línea de metal y capas de corte, donde los cortes se pueden aplicar a aletas, compuertas o líneas de metal. [2] [3]

En IEDM 2020, TSMC informó que el paso metálico mínimo del nodo de 5 nm se redujo en un 30 % (a ~28 nm) respecto al de su nodo de 7 nm, [4] que era de 40 nm. [5]

El nodo de 5 nm de Samsung tiene litográficamente la misma regla de diseño que su nodo de 7 nm, con un paso de metal mínimo de 36 nm. [6]

Mecanismo de formación de imágenes en litografía EUV.
  EUV multicapa de espaciador de vidrio a base de silicio y reflectores de molibdeno
  Amortiguador
  Radiación UVE
  Resistir
  sustrato
  electrones secundarios
EUV multicapa y absorbente que constituye un patrón de máscara para obtener imágenes de una línea.
La radiación EUV reflejada por el patrón de máscara se absorbe en la resistencia y el sustrato, produciendo fotoelectrones y electrones secundarios. Estos electrones aumentan el alcance de las reacciones químicas en la resistencia.
Un patrón de electrones secundario que es de naturaleza aleatoria se superpone a la imagen óptica. La exposición no deseada a los electrones secundarios da como resultado una pérdida de resolución, una rugosidad observable en los bordes de las líneas y una variación del ancho de línea.

Historia

En la década de 1960, la luz visible se utilizaba para la producción de circuitos integrados, con longitudes de onda tan pequeñas como 435 nm (la "línea g" del mercurio).

Posteriormente se utilizó la luz ultravioleta (UV), al principio con una longitud de onda de 365 nm (mercurio "i line"), luego con longitudes de onda excimer, primero de 248 nm ( láser de fluoruro de criptón ), luego de 193 nm ( láser de fluoruro de argón ), que se llamó UV profundo.

El siguiente paso, aún más pequeño, se denominó Extreme UV o EUV. Muchos consideraban que la tecnología EUV era imposible.

La luz EUV es absorbida por el vidrio y el aire, por lo que en lugar de usar lentes para enfocar los haces de luz como se hacía anteriormente, se necesitarían espejos en el vacío. También resultó problemática una producción fiable de EUV. Luego, los principales fabricantes de motores paso a paso, Canon y Nikon , detuvieron su desarrollo y algunos predijeron el fin de la ley de Moore . [ cita necesaria ]

En 1991, los científicos de los Laboratorios Bell publicaron un artículo que demostraba la posibilidad de utilizar una longitud de onda de 13,8 nm para la llamada litografía de proyección de rayos X blandos. [7]

Para abordar el desafío de la litografía EUV, en la década de 1990 se financió a investigadores del Laboratorio Nacional Lawrence Livermore , el Laboratorio Nacional Lawrence Berkeley y los Laboratorios Nacionales Sandia para realizar investigaciones básicas sobre los obstáculos técnicos. Los resultados de este exitoso esfuerzo se difundieron a través de un Acuerdo Cooperativo de Investigación y Desarrollo (CRADA, por sus siglas en inglés) de asociación pública/privada, en el que la invención y los derechos son propiedad exclusiva del gobierno de los EE. UU., pero se licencian y distribuyen con la aprobación del DOE y el Congreso. [8] La CRADA estaba formada por un consorcio de empresas privadas y los Laboratorios, manifestado como una entidad denominada Extreme Ultraviolet Limited Liability Company (EUV LLC). [9]

Intel, Canon y Nikon (líderes en el sector en aquel momento), así como la empresa holandesa ASML y Silicon Valley Group (SVG), solicitaron licencias. El Congreso denegó a las empresas japonesas el permiso necesario porque en ese momento eran percibidas como fuertes competidores técnicos y no deberían beneficiarse de la investigación financiada por los contribuyentes a expensas de las empresas estadounidenses. [10] En 2001, ASML adquirió SVG, dejando a ASML como el único benefactor de la tecnología crítica. [11]

En 2018, ASML logró implementar la propiedad intelectual de EUV-LLC después de varias décadas de investigación de desarrollo, con la incorporación de EUCLIDES (Extreme UV Concept Lithography Development System), financiado con fondos europeos, y su socio de larga data, el fabricante alemán de óptica ZEISS y la fuente de luz de sincrotrón. proveedor Oxford Instruments. Esto llevó al MIT Technology Review a nombrarla "la máquina que salvó la ley de Moore". [12] El primer prototipo en 2006 produjo una oblea en 23 horas. A partir de 2022, un escáner producirá hasta 200 obleas por hora. El escáner utiliza óptica Zeiss , que esa empresa llama "los espejos más precisos del mundo" y se producen localizando imperfecciones y luego eliminando moléculas individuales con técnicas como la figuración por haz de iones. [13]

Esto convirtió a la alguna vez pequeña empresa ASML en líder mundial en la producción de escáneres y en monopolista de esta tecnología de vanguardia y generó una facturación récord de 18,6 mil millones de euros en 2021, eclipsando a sus competidores Canon y Nikon, a quienes se les negó el acceso IP. Debido a que se trata de una tecnología clave para el desarrollo en muchos campos, el licenciante estadounidense presionó a las autoridades holandesas para que no vendieran estas máquinas a China . ASML ha seguido las directrices de los controles de exportación holandeses y, hasta nuevo aviso, no tendrá autoridad para enviar las máquinas a China. [14]

Salida de herramienta fabulosa

Requisitos para los motores paso a paso EUV, dada la cantidad de capas en el diseño que requieren EUV, la cantidad de máquinas y el rendimiento deseado de la fábrica. Asume operación las 24 horas del día

Fuente: ASML enviará herramientas EUV

Máscaras

Las fotomáscaras EUV funcionan reflejando la luz, [15] lo que se logra mediante el uso de múltiples capas alternas de molibdeno y silicio . Esto contrasta con las fotomáscaras convencionales que funcionan bloqueando la luz utilizando una única capa de cromo sobre un sustrato de cuarzo. Una máscara EUV consta de 40-50 [16] capas alternas de silicio y molibdeno; [17] esta multicapa actúa reflejando la luz ultravioleta extrema mediante difracción de Bragg ; la reflectancia es una función importante del ángulo de incidencia y la longitud de onda, donde las longitudes de onda más largas reflejan una incidencia más cercana a la normal y las longitudes de onda más cortas reflejan una incidencia más alejada de la normal. El patrón se define en una capa absorbente a base de tantalio sobre la multicapa. [18] La multicapa puede estar protegida por una fina capa de rutenio, llamada capa protectora. [19] [20] [21]

Las fotomáscaras en blanco son fabricadas principalmente por dos empresas: AGC Inc. y Hoya Corporation . [22] y el equipo de deposición de haz de iones fabricado principalmente por Veeco se utiliza a menudo para depositar la multicapa. [23] Una fotomáscara en blanco se cubre con fotoprotector , que luego se hornea (solidifica) en un horno y luego se expone a luz láser , utilizando litografía sin máscara con un haz de electrones. [24] El fotorresistente expuesto se revela (elimina) y las áreas desprotegidas se graban. Luego se retira el fotorresistente restante. Luego las máscaras se inspeccionan y luego se reparan mediante un haz de electrones . [25] El grabado debe realizarse únicamente en la capa de cobertura [26] y, por lo tanto, es necesario distinguir entre el rutenio y la multicapa, lo que se conoce como selectividad de grabado [27] y es diferente al grabado en las fotomáscaras convencionales que solo tienen una capa crítica para su función. [28]

Herramienta

Una herramienta EUVL, Laboratorio Nacional Lawrence Livermore

Una herramienta EUV (máquina de fotolitografía EUV) tiene una fuente de luz de plasma de estaño (Sn) impulsada por láser, una óptica reflectante que comprende espejos multicapa, contenida dentro de un ambiente de gas hidrógeno. [29] El hidrógeno se utiliza para mantener el espejo colector EUV, como el primer espejo que recoge EUV emitido en un amplio rango de ángulo (~ 2π sr ) desde el plasma de Sn, en la fuente libre de deposición de Sn. [30] Específicamente, el gas amortiguador de hidrógeno en la cámara o recipiente fuente de EUV desacelera o posiblemente empuja hacia atrás los iones de Sn y los desechos de Sn que viajan hacia el colector EUV (protección del colector) y permite una reacción química de Sn (s) + 4H (g). = SnH4 (g) para eliminar la deposición de Sn en el colector en forma de gas SnH4 (restauración de la reflectividad del colector).

EUVL es una desviación significativa del estándar de litografía ultravioleta profunda. Toda la materia absorbe la radiación EUV . Por tanto, la litografía EUV requiere un vacío. Todos los elementos ópticos, incluida la fotomáscara , deben utilizar multicapas de molibdeno/silicio ( Mo / Si ) libres de defectos (que constan de 50 bicapas de Mo/Si, cuyo límite teórico de reflectividad a 13,5 nm es ~ 75% [31] ) que actúan para reflejar luz mediante interferencia de ondas entre capas; Cualquiera de estos espejos absorbe alrededor del 30% de la luz incidente, por lo que el control de la temperatura del espejo es importante.

Los sistemas EUVL actuales contienen al menos dos espejos multicapa de condensador , seis espejos multicapa de proyección y un objeto multicapa (máscara). Dado que los espejos absorben el 96% de la luz EUV, la fuente EUV ideal debe ser mucho más brillante que sus predecesoras. El desarrollo de fuentes EUV se ha centrado en plasmas generados por láser o pulsos de descarga. El espejo responsable de recolectar la luz está directamente expuesto al plasma y es vulnerable a daños causados ​​por iones de alta energía [32] [33] y otros desechos [34] como gotas de estaño, que requieren que el costoso espejo colector sea reemplazado cada vez. año. [35]

Requerimientos de recursos

Los recursos de servicios públicos necesarios son significativamente mayores para EUV en comparación con la inmersión de 193 nm , incluso con dos exposiciones utilizando este último. En el Simposio EUV de 2009, Hynix informó que la eficiencia del enchufe de pared era ~0,02% para EUV, es decir, para obtener 200 vatios en un enfoque intermedio para 100 obleas por hora, se necesitaría 1 megavatio de potencia de entrada, en comparación con 165 kilovatios para un escáner de inmersión ArF, y que incluso con el mismo rendimiento, la huella del escáner EUV era ~3 veces la huella de un escáner de inmersión ArF, lo que resultaba en una pérdida de productividad. [36] Además, para confinar los desechos iónicos, puede ser necesario un imán superconductor. [37]

Una herramienta EUV típica pesa casi 200 toneladas. [38]

Consumo de energía de herramientas DUV frente a EUV (medido en 2020): [39] Las herramientas EUV consumen al menos 10 veces más energía que las herramientas de inmersión.

Resumen de características clave

La siguiente tabla resume las diferencias clave entre los sistemas EUV en desarrollo y los sistemas de inmersión ArF que se utilizan ampliamente en la producción actual:

Los diferentes grados de resolución entre las herramientas de 0,33 NA se deben a las diferentes opciones de iluminación. A pesar del potencial de la óptica para alcanzar una resolución inferior a 20 nm, los electrones secundarios en la resistencia prácticamente limitan la resolución a alrededor de 20 nm (más sobre esto a continuación). [49]

Potencia, rendimiento y tiempo de actividad de la fuente de luz

Rendimiento de EUV en función de la dosis. El rendimiento de la oblea de una herramienta EUV es en realidad una función de la dosis de exposición, para una fuente de energía fija.

Los átomos neutros o la materia condensada no pueden emitir radiación EUV. La ionización debe preceder a la emisión EUV en la materia. La producción térmica de iones positivos multicargados sólo es posible en un plasma denso y caliente , que a su vez absorbe fuertemente los EUV. [50] A partir de 2016, la fuente de luz EUV establecida es un plasma de estaño pulsado por láser. [51] Los iones absorben la luz EUV que emiten y son fácilmente neutralizados por los electrones en el plasma a estados de carga más bajos que producen luz principalmente en otras longitudes de onda inutilizables, lo que resulta en una eficiencia mucho menor de generación de luz para la litografía en plasma más alto. Densidad de poder.

El rendimiento está ligado a la fuente de energía, dividida por la dosis. [52] Una dosis más alta requiere un movimiento de etapa más lento (menor rendimiento) si no se puede aumentar la potencia del pulso.

La reflectividad del colector EUV se degrada entre un 0,1 % y un 0,3 % por cada mil millones de pulsos de 50 kHz (~10 % en ~2 semanas), lo que provoca una pérdida de tiempo de actividad y rendimiento, mientras que incluso para los primeros miles de millones de pulsos (en un día), todavía hay 20 % (+/-10%) de fluctuación. [53] Esto podría deberse a la acumulación de residuos de Sn mencionados anteriormente, que no se limpian por completo. [54] [55] Por otro lado, las herramientas de litografía de inmersión convencionales para patrones dobles proporcionan resultados consistentes por hasta un año. [56]

Recientemente, el iluminador NXE:3400B presenta una relación de llenado de pupila (PFR) más pequeña, de hasta el 20 %, sin pérdida de transmisión. [57] La ​​PFR está maximizada y es superior a 0,2 alrededor de un paso metálico de 45 nm. [58]

Debido al uso de espejos EUV que también absorben la luz EUV, finalmente sólo una pequeña fracción de la luz fuente está disponible en la oblea. Se utilizan 4 espejos para la óptica de iluminación y 6 espejos para la óptica de proyección. La máscara o retícula EUV es en sí misma un espejo adicional. Con 11 reflexiones, sólo ~ 2% de la fuente de luz EUV está disponible en la oblea. [59]

El rendimiento afectará la dosis de resistencia EUV, que a su vez depende de la resolución requerida. [60] Se espera que se mantenga una dosis de 40 mJ/cm2 para un rendimiento adecuado. [61]

Tiempo de actividad de la herramienta

La fuente de luz EUV limita el tiempo de actividad de la herramienta además del rendimiento. En un período de dos semanas, por ejemplo, se pueden programar más de siete horas de inactividad, mientras que el tiempo de inactividad total real, incluidos los problemas no programados, podría fácilmente exceder un día. [59] Un error de dosis superior al 2% justifica un tiempo de inactividad de la herramienta. [59]

El rendimiento de exposición de las obleas se expandió constantemente hasta alrededor de 1000 obleas/día (por sistema) durante el período 2019-2022, [62] [63] lo que indica un tiempo de inactividad sustancial, mientras que al mismo tiempo se ejecuta >120 WPH en varios EUV de múltiples patrones. capas, para una oblea EUV en promedio.

Comparación con otras fuentes de luz de litografía.

EUV (10-121 nm) es la banda más larga que los rayos X (0,1-10 nm) y más corta que la línea Lyman-alfa del hidrógeno .

Mientras que los láseres excimer ArF de 193 nm de última generación ofrecen intensidades de 200 W/cm 2 , [64] los láseres para producir plasmas generadores de EUV deben ser mucho más intensos, del orden de 10 11 W/cm 2 . [65] Una fuente de luz de 120 W de litografía de inmersión ArF de última generación no requiere más de 40 kW [66], mientras que el objetivo de las fuentes EUV es superar los 40 kW. [67]

El objetivo de potencia para la litografía EUV es al menos 250 W, mientras que para otras fuentes de litografía convencional es mucho menor. [59] Por ejemplo, las fuentes de luz de litografía de inmersión tienen como objetivo 90 W, las fuentes secas de ArF de 45 W y las fuentes de KrF de 40 W. Se espera que las fuentes EUV de alto NA requieran al menos 500 W. [59]

Problemas ópticos específicos de EUV

Óptica reflectante

Diferencia de enfoque EUV HV. Las características del patrón de máscara (retícula) horizontal (H) y vertical (V) se enfocan de manera diferente en los sistemas ópticos EUV. La apertura numérica (NA) también marca la diferencia.

Un aspecto fundamental de las herramientas EUVL, resultante del uso de ópticas reflectantes, es la iluminación fuera del eje (en un ángulo de 6 grados, en diferentes direcciones en diferentes posiciones dentro de la rendija de iluminación) [68] sobre una máscara multicapa (retícula). . Esto conduce a efectos de sombra que dan como resultado una asimetría en el patrón de difracción que degrada la fidelidad del patrón de varias maneras, como se describe a continuación. [69] [70] Por ejemplo, un lado (detrás de la sombra) aparecería más brillante que el otro (dentro de la sombra). [71]

El comportamiento de los rayos de luz dentro del plano de reflexión (que afectan a las líneas horizontales) es diferente del comportamiento de los rayos de luz fuera del plano de reflexión (que afectan a las líneas verticales). [72] Lo más llamativo es que líneas horizontales y verticales de idéntico tamaño en la máscara EUV están impresas en diferentes tamaños en la oblea.

Diferencia de CD de 2 barras versus enfoque. La diferencia entre los anchos de dos líneas horizontales adyacentes varía en función del enfoque.

La combinación de la asimetría fuera del eje y el efecto de sombra de la máscara conduce a una incapacidad fundamental de dos características idénticas, incluso muy próximas, de estar enfocadas simultáneamente. [73] Una de las cuestiones clave de EUVL es la asimetría entre la línea superior e inferior de un par de líneas horizontales (las llamadas "dos barras"). Algunas formas de compensarlo parcialmente son el uso de funciones de asistencia y de iluminación asimétrica. [74]

Una extensión de la caja de dos barras a una rejilla que consta de muchas líneas horizontales muestra una sensibilidad similar al desenfoque. [75] Se manifiesta en la diferencia de dimensión crítica (CD) entre las líneas de borde superior e inferior del conjunto de 11 líneas horizontales.

La polarización por reflexión también conduce a una polarización parcial de la luz EUV, lo que favorece la formación de imágenes de líneas perpendiculares al plano de las reflexiones. [76] [77]

Cambio de patrón desde el desenfoque (no telecentricidad)

Debido a los diferentes cambios de fase debidos a la reflexión de la máscara EUV, diferentes ángulos de iluminación dan como resultado diferentes cambios. Esto da como resultado un contraste de imagen reducido , también conocido como desvanecimiento.

El absorbente de máscara EUV, debido a la transmisión parcial, genera una diferencia de fase entre los órdenes de difracción 0.º y 1.º de un patrón de espacio lineal, lo que da como resultado cambios de imagen (en un ángulo de iluminación determinado), así como cambios en la intensidad máxima (que conducen a un ancho de línea cambios) [78] [79] que se mejoran aún más debido al desenfoque. [80] [81] En última instancia, esto da como resultado diferentes posiciones de mejor enfoque para diferentes tonos y diferentes ángulos de iluminación. Generalmente, el cambio de imagen se equilibra debido al emparejamiento de puntos de fuente de iluminación (cada uno en lados opuestos del eje óptico). Sin embargo, las imágenes separadas se superponen y el contraste de la imagen resultante se degrada cuando los desplazamientos de la imagen fuente individual son lo suficientemente grandes. La diferencia de fase también determina en última instancia la mejor posición de enfoque.

La multicapa también es responsable del cambio de imagen debido a los cambios de fase de la luz difractada dentro de la propia multicapa. [82] Esto es inevitable debido a que la luz pasa dos veces a través del patrón de la máscara. [83]

El uso de la reflexión hace que la posición de exposición de la oblea sea extremadamente sensible a la planitud de la retícula y a la abrazadera de la retícula. Por lo tanto, es necesario mantener la limpieza de la abrazadera de la retícula. Pequeñas desviaciones (escala mrad) en la planitud de la máscara en la pendiente local, junto con el desenfoque de la oblea. [84] Más importante aún, se ha descubierto que el desenfoque de la máscara produce grandes errores de superposición. [85] [86] En particular, para una capa de metal de nodo de 10 nm (incluidos pasos de 48 nm, 64 nm, 70 nm, aislados y líneas eléctricas), el error de colocación del patrón incorregible fue de 1 nm para la máscara de 40 nm z- cambio de posición. [87] Este es un cambio de patrón global de la capa con respecto a capas previamente definidas. Sin embargo, las características en diferentes ubicaciones también cambiarán de manera diferente debido a diferentes desviaciones locales de la planitud de la máscara, por ejemplo, debido a defectos enterrados debajo de la multicapa. Se puede estimar que la contribución de la falta de planitud de la máscara al error de superposición es aproximadamente 1/40 veces la variación del espesor de pico a valle. [88] Con la especificación de pico a valle en blanco de 50 nm, es posible un error de ubicación de imagen de ~1,25 nm. También contribuyen las variaciones del grosor del blanco de hasta 80 nm, lo que provoca un desplazamiento de la imagen de hasta 2 nm. [88]

La iluminación fuera del eje de la retícula también es la causa de la falta de telecentricidad en el desenfoque de la oblea, que consume la mayor parte del presupuesto de superposición de 1,4 nm del escáner EUV NXE:3400 [89] incluso para reglas de diseño tan flexibles como un paso de 100 nm. [90] El peor error de colocación de patrón incorregible para una línea de 24 nm fue de aproximadamente 1,1 nm, en relación con una línea eléctrica adyacente de 72 nm, por cada cambio de posición de enfoque de oblea de 80 nm en una posición de una sola hendidura; cuando se incluye el rendimiento a través de la rendija, el peor error es superior a 1,5 nm en la ventana de desenfoque de la oblea [87] En 2017, un microscopio actínico que imitaba un sistema de litografía EUV de 0,33 NA con iluminación de cuásar 45 de 0,2/0,9 mostró que un contacto de paso de 80 nm La matriz se desplazó de -0,6 a 1,0 nm, mientras que una matriz de contacto de paso de 56 nm se desplazó de -1,7 a 1,0 nm en relación con una línea de referencia horizontal, dentro de una ventana de desenfoque de +/- 50 nm. [91]

El desenfoque de la oblea también provoca errores en la colocación de la imagen debido a desviaciones de la planitud de la máscara local. Si la pendiente local está indicada por un ángulo α, la imagen se proyecta desplazada en una herramienta de proyección 4× en 8α × (DOF/2) = 4αDOF , donde DOF es la profundidad de enfoque. [92] Para una profundidad de enfoque de 100 nm, una pequeña desviación local de la planitud de 2,5 mrad (0,14°) puede provocar un cambio de patrón de 1 nm.

Tanto las simulaciones como los experimentos han demostrado que los desequilibrios de las pupilas en la litografía EUV pueden provocar errores de colocación de patrones que dependen del tono. [93] [94] Dado que el desequilibrio de la pupila cambia con el envejecimiento o la contaminación del espejo colector EUV, dichos errores de colocación pueden no ser estables con el tiempo. La situación es especialmente complicada para los dispositivos lógicos, donde varios pasos tienen requisitos críticos al mismo tiempo. [95] Lo ideal es abordar el problema mediante exposiciones múltiples con iluminaciones personalizadas. [96] [97]

Dependencia de la posición de la hendidura

Rotación de iluminación a través de la rendija del campo anular. La luz reflejada por superficies ópticas curvas generará segmentos de arco . [98] Los ángulos de iluminación giran azimutalmente a través de la rendija en forma de arco (derecha), debido al reflejo de una imagen en forma de arco desde cada posición de la pupila como una fuente puntual (izquierda). [99] [100] El patrón de distribución de reflectancia multicapa dependiente del ángulo y de la longitud de onda se gira en consecuencia.

La dirección de la iluminación también depende en gran medida de la posición de la hendidura, esencialmente girada en acimut. [101] [102] [46] [103] [104] [105] Nanya Technology y Synopsys descubrieron que el sesgo horizontal versus vertical cambiaba a través de la rendija con iluminación dipolo. [106] El plano de incidencia giratorio (rango azimutal entre -25° y 25°) se confirma en el microscopio de revisión actínica SHARP en CXRO, que imita la óptica de los sistemas de litografía de proyección EUV. [107] La ​​razón de esto es que se utiliza un espejo para transformar campos rectangulares rectos en campos en forma de arco. [108] [109] Para preservar un plano de incidencia fijo, el reflejo del espejo anterior sería desde un ángulo diferente con la superficie para una posición de rendija diferente; esto provoca una falta de uniformidad en la reflectividad. Para preservar la uniformidad, se utiliza simetría rotacional con un plano de incidencia giratorio. [110] De manera más general, los sistemas llamados "campo anular" reducen las aberraciones al confiar en la simetría rotacional de un campo en forma de arco derivado de un anillo fuera del eje. [111] Esto es preferible, ya que los sistemas reflectantes deben utilizar trayectorias fuera del eje, lo que agrava las aberraciones. Por lo tanto, patrones de matriz idénticos dentro de diferentes mitades de la hendidura en forma de arco requerirían OPC diferente . Esto los hace imposibles de inspeccionar mediante comparación entre troqueles, ya que ya no son troqueles verdaderamente idénticos. Para pasos que requieren iluminación dipolo, cuadrupolo o hexapolo, la rotación también provoca una falta de coincidencia con el mismo diseño del patrón en una posición de hendidura diferente, es decir, borde versus centro. Incluso en caso de iluminación anular o circular, la simetría rotacional se destruye por la reflectancia multicapa dependiente del ángulo descrita anteriormente. Aunque el rango del ángulo azimutal es +/- ~20° [112] (los datos de campo del NXE3400 [113] indican 18,2° [114] ) en escáneres de 0,33 NA, con reglas de diseño de 7 nm (paso de 36 a 40 nm), la tolerancia para la iluminación puede ser +/-15°, [115] [116] o incluso menos. [117] [118] [113] La falta de uniformidad y la asimetría de la iluminación anular también afectan significativamente la imagen. [119] Con un paso de 28 nm, la iluminación dipolo requerida se vuelve imposible de mantener a través de la rendija en sistemas 0,33 NA EUV. [120]

El ángulo de incidencia mayor para la tendencia de iluminación dipolo dependiente del tono a través de la rendija no afecta tanto el sombreado de las líneas horizontales, pero el sombreado de las líneas verticales aumenta desde el centro hacia el borde. [121] Además, los sistemas de NA superiores pueden ofrecer un alivio limitado de las sombras, ya que apuntan a pendientes estrechas. [121]

Las líneas horizontales y verticales exhiben diferentes sombras a lo largo de la rendija.

La dependencia de la posición de la hendidura es particularmente difícil para los patrones inclinados que se encuentran en DRAM. [104] Además de los efectos más complicados debido al sombreado y la rotación de la pupila, los bordes inclinados se convierten en forma de escalera, que puede distorsionarse mediante OPC. De hecho, la DRAM de paso de 32 nm de EUV se alargará hasta al menos 9F 2 de área de celda, donde F = medio paso del área activa (tradicionalmente, había sido 6F 2 ). [106] Con un corte de área activa de doble patrón autoalineado en 2-D, el área de la celda es aún más baja, 8,9F 2 . [122]

Las aberraciones , que se originan en desviaciones de las superficies ópticas de las especificaciones subatómicas (<0,1 nm) [123] , así como deformaciones térmicas [124] [125] y que posiblemente incluyen efectos de reflectancia polarizada, [126] también dependen de la posición de la rendija, [127] [125] como se analizará más adelante, con respecto a la optimización de la máscara de fuente (SMO). Se espera que las aberraciones inducidas térmicamente muestren diferencias entre diferentes posiciones a lo largo de la rendija, correspondientes a diferentes posiciones del campo, ya que cada posición encuentra diferentes partes de los espejos deformados. [128] Irónicamente, el uso de materiales de sustrato con alta estabilidad térmica y mecánica hace que sea más difícil compensar los errores del frente de onda [129]

En combinación con el rango de longitudes de onda, el plano de incidencia rotado agrava el ya severo impacto estocástico en las imágenes EUV. [130]

Ancho de banda de longitud de onda ( aberración cromática )

El cambio de imagen debido al desenfoque depende de la longitud de onda. La dependencia angular de la reflectancia multicapa del objeto (máscara) es diferente para diferentes longitudes de onda, lo que produce diferentes cambios cuando se desenfoca.

A diferencia de las fuentes de litografía ultravioleta profunda (DUV), basadas en láseres excimer, las fuentes de plasma EUV producen luz en una amplia gama de longitudes de onda [131] que abarca aproximadamente un ancho de banda FWHM del 2 % cerca de 13,5 nm (13,36 nm – 13,65 nm al 50 % de potencia). EUV (10-121 nm) es la banda más larga que los rayos X (0,1-10 nm) y más corta que la línea Lyman-alfa del hidrógeno .

Aunque el espectro EUV no es completamente monocromático, ni siquiera tan espectralmente puro como las fuentes láser DUV, generalmente se ha considerado que la longitud de onda de trabajo es de 13,5 nm. En realidad, la potencia reflejada se distribuye principalmente en el rango de 13,3-13,7 nm. [132] El ancho de banda de la luz EUV reflejada por un espejo multicapa utilizado para la litografía EUV es superior a +/-2% (>270 pm); [133] los cambios de fase debidos a cambios de longitud de onda en un ángulo de iluminación dado pueden calcularse [134] y compararse con el presupuesto de aberración. [135] La dependencia de la reflectancia de la longitud de onda [134] [132] también afecta la apodización o distribución de la iluminación a través de la pupila (para diferentes ángulos); diferentes longitudes de onda "ven" efectivamente diferentes iluminaciones, ya que se reflejan de manera diferente en la multicapa de la máscara. [136] [132] Esta inclinación efectiva de la fuente de iluminación puede provocar grandes cambios de imagen debido al desenfoque. [137] Por el contrario, la longitud de onda reflejada máxima varía a lo largo de la pupila debido a los diferentes ángulos de incidencia. [132] [138] Esto se agrava cuando los ángulos abarcan un radio amplio, por ejemplo, iluminación anular. La longitud de onda de reflectancia máxima aumenta para ángulos de incidencia más pequeños. [139] Se han propuesto multicapas aperiódicas para reducir la sensibilidad a costa de una menor reflectividad, pero son demasiado sensibles a las fluctuaciones aleatorias del espesor de las capas, como las causadas por la imprecisión en el control del espesor o la interdifusión. [140] En particular, las líneas densas desenfocadas en tonos de hasta el doble del tono mínimo resoluble sufren cambios de borde dependientes de la longitud de onda. [141]

Un ancho de banda más estrecho aumentaría la sensibilidad al espesor del absorbente de máscara y del buffer en la escala de 1 nm. [142] [143]

Llamarada

El destello es la presencia de luz de fondo que se origina por la dispersión de características de la superficie que no son resueltas por la luz. En los sistemas EUV, esta luz puede ser EUV o luz fuera de banda (OoB) que también es producida por la fuente EUV. La luz OoB añade la complicación de afectar la exposición de la resistencia de formas distintas a las explicadas por la exposición EUV. La exposición a la luz fuera de alcance puede aliviarse mediante una capa recubierta sobre la resistencia, así como con características de "borde negro" en la máscara EUV. [144] Sin embargo, la capa de recubrimiento inevitablemente absorbe la luz EUV y el borde negro agrega el costo de procesamiento de la máscara EUV.

Efectos de punta de línea

Un desafío clave para EUV es el comportamiento de contraescalado de la distancia de punta a punta (T2T) de la línea a medida que se reduce el medio paso (hp). [117] Esto se debe en parte al menor contraste de imagen de las máscaras binarias utilizadas en la litografía EUV, que no se encuentra con el uso de máscaras de cambio de fase en la litografía de inmersión. [145] [146] El redondeo de las esquinas del final de la línea conduce al acortamiento del final de la línea, [147] y esto es peor para las máscaras binarias. [148] Se ha estudiado el uso de máscaras de cambio de fase en la litografía EUV, pero encuentra dificultades debido al control de fase en capas delgadas [149], así como al ancho de banda de la propia luz EUV. [150] Más convencionalmente, la corrección óptica de proximidad (OPC) se utiliza para abordar el redondeo de las esquinas y el acortamiento del final de la línea. A pesar de esto, se ha demostrado que la resolución de punta a punta y la capacidad de impresión de la punta de la línea están compensadas entre sí, siendo efectivamente CD de polaridad opuesta. [151]

En capas metálicas unidireccionales, el espaciado de punta a punta es uno de los problemas más graves para los patrones de exposición única. Para las líneas verticales de paso de 40 nm, un espacio dibujado nominal de punta a punta de 18 nm dio como resultado una distancia real de punta a punta de 29 nm con OPC, [117] mientras que para las líneas horizontales de paso de 32 nm, la distancia de punta a punta -La distancia de la punta con un espacio nominal de 14 nm pasó a 31 nm con OPC. [152] Estas distancias reales de punta a punta definen un límite inferior del medio paso del metal que corre en la dirección perpendicular a la punta. En este caso, el límite inferior se sitúa en torno a los 30 nm. Con una mayor optimización de la iluminación (que se analiza en la sección sobre optimización de la máscara de fuente), el límite inferior se puede reducir aún más a alrededor de 25 nm. [153]

Para pasos más grandes, donde se puede utilizar iluminación convencional, la distancia de punta a punta de la línea es generalmente mayor. Para las líneas de medio paso de 24 nm, con un espacio nominal dibujado de 20 nm, la distancia fue en realidad de 45 nm, mientras que para las líneas de medio paso de 32 nm, el mismo espacio nominal resultó en una distancia de punta a punta de 34 nm. [152] Con OPC, estos se convierten en 39 nm y 28 nm para medio paso de 24 nm y medio paso de 32 nm, respectivamente. [154]

Oportunidades de mejora para el modelado EUV

Funciones de asistencia

Función de asistencia OPC. Las funciones de asistencia ayudan a mejorar la imagen de entidades aisladas (azul) para que se parezcan más a entidades densas (gris). Sin embargo, cuanto más efectivos sean, mayor será el riesgo de que se imprima la función de asistencia (naranja).

Las funciones de asistencia se utilizan a menudo para ayudar a equilibrar la asimetría derivada de la no telecentricidad en diferentes posiciones de la rendija, debido a diferentes ángulos de iluminación, comenzando en el nodo de 7 nm, [155] [156] donde el paso es ~ 41 nm para una longitud de onda ~ 13,5 nm y NA=0,33, correspondiente a k1 ~ 0,5. [157] Sin embargo, la asimetría se reduce pero no se elimina, ya que las funciones de asistencia mejoran principalmente las frecuencias espaciales más altas, mientras que las frecuencias espaciales intermedias, que también afectan el enfoque y la posición de las funciones, no se ven muy afectadas. El acoplamiento entre la imagen primaria y las imágenes propias es demasiado fuerte para que las funciones de asistencia puedan eliminar la asimetría; Sólo la iluminación asimétrica puede lograr esto. [74] Las funciones de asistencia también pueden obstaculizar el acceso a los rieles de alimentación/tierra. Se espera que los rieles eléctricos sean más anchos, lo que también limita la efectividad del uso de funciones de asistencia, al restringir el terreno de juego local. Los lanzamientos locales entre 1× y 2× el tono mínimo prohíben la colocación de funciones de asistencia, ya que simplemente no hay espacio para preservar la simetría del tono local. De hecho, para la aplicación al caso de asimetría de dos barras, la ubicación óptima de la función de asistencia puede ser menor o superior al paso de dos barras. [156] Dependiendo del parámetro a optimizar (área de la ventana de proceso, profundidad de enfoque, latitud de exposición), la configuración óptima de la función de asistencia puede ser muy diferente, por ejemplo, el paso entre la función de asistencia y la barra es diferente del paso de dos barras, simétrico. o asimétrico, etc.

En pasos inferiores a 58 nm, existe un equilibrio entre la mejora de la profundidad de enfoque y la pérdida de contraste debido a la ubicación de la función de asistencia. [156] En general, todavía existe una compensación entre enfoque y exposición, ya que la ventana de dosis está limitada por la necesidad de que las funciones de asistencia no se impriman accidentalmente.

Una preocupación adicional proviene del ruido de los disparos; [158] Las funciones de asistencia de subresolución (SRAF) hacen que la dosis requerida sea menor, para no imprimir las funciones de asistencia accidentalmente. [159] Esto da como resultado menos fotones que definen características más pequeñas (ver discusión en la sección sobre ruido de disparo).

Como los SRAF son funciones más pequeñas que las funciones primarias y se supone que no deben recibir dosis lo suficientemente altas para imprimir, son más susceptibles a variaciones de dosis estocásticas que causan errores de impresión. [160] Esto es particularmente prohibitivo para EUV, donde incluso cuando la característica principal se imprime a 80 mJ/cm 2 , el SRAF sufre de impresión estocástica.

Optimización de máscara de fuente

Efecto de tono en SMO. El SMO realizado específicamente para un campo puede tener un rendimiento diferente para otros campos.

Debido a los efectos de la no telecentricidad, las formas de pupila de iluminación estándar, como disco o anular, no son suficientes para usarse con tamaños de características de ~20 nm o menos (nodo de 10 nm y más). [90] En lugar de ello, ciertas partes de la pupila (a menudo más del 50%) deben excluirse asimétricamente. Las partes a excluir dependen del patrón. En particular, las líneas más densas permitidas deben estar alineadas en una dirección y prefieren una forma dipolo. Para esta situación, se requeriría litografía de doble exposición para patrones 2D, debido a la presencia de patrones orientados tanto en X como en Y, cada uno de los cuales requiere su propia máscara de patrón 1D y orientación dipolo. [161] [162] Puede haber entre 200 y 400 puntos de iluminación, cada uno de los cuales contribuye con su peso de la dosis para equilibrar la imagen general a través del enfoque. Por lo tanto, el efecto de ruido de disparo (que se analizará más adelante) afecta de manera crítica la posición de la imagen a través del enfoque, en una gran población de características.

También se requerirían patrones dobles o múltiples si un patrón consta de subpatrones que requieren iluminaciones optimizadas significativamente diferentes, debido a diferentes tonos, orientaciones, formas y tamaños.

Impacto de la posición de la hendidura y las aberraciones.

Impacto de diferentes longitudes de onda. Las diferentes longitudes de onda tienen efectivamente pupilas diferentes, lo que da como resultado diferentes resultados de optimización de la máscara de fuente.

Debido en gran parte a la forma de la hendidura [112] y a la presencia de aberraciones residuales, [163] la eficacia del SMO varía según la posición de la hendidura. [164] En cada posición de la rendija, hay diferentes aberraciones [127] y diferentes ángulos acimutales de incidencia que conducen a diferentes sombras. [46] En consecuencia, podría haber variaciones no corregidas entre las rendijas para características sensibles a la aberración, que pueden no verse obviamente con patrones de espacio lineal regulares. [156] En cada posición de rendija, aunque también se puede aplicar la corrección óptica de proximidad (OPC) , incluidas las funciones de asistencia mencionadas anteriormente, para abordar las aberraciones, [165] [166] también retroalimentan la especificación de iluminación, [167] [ 164] [168] [169] ya que los beneficios difieren para diferentes condiciones de iluminación. [165] Esto requeriría el uso de diferentes combinaciones de fuente y máscara en cada posición de rendija, es decir, múltiples exposiciones de máscara por capa. [127] [170]

Las aberraciones cromáticas antes mencionadas, debidas a la apodización inducida por la máscara, [136] también conducen a optimizaciones inconsistentes de la fuente-máscara para diferentes longitudes de onda.

Ventanas de enfoque dependientes del tono

El mejor enfoque para un tamaño de característica determinado varía en gran medida en función del tono, la polaridad y la orientación bajo una iluminación determinada. [171] Con un paso de 36 nm, las características de campo oscuro horizontal y vertical tienen una diferencia de enfoque de más de 30 nm. Las funciones de paso de 34 nm y de 48 nm tienen la mayor diferencia de mejor enfoque independientemente del tipo de función. En el rango de tono de 48 a 64 nm, la mejor posición de enfoque cambia aproximadamente de forma lineal en función del tono, hasta entre 10 y 20 nm. [172] Para el rango de tono de 34 a 48 nm, la mejor posición de enfoque se desplaza aproximadamente linealmente en la dirección opuesta en función del tono. Esto puede correlacionarse con la diferencia de fase entre los órdenes de difracción cero y primero. [173] Se encontró que las funciones de asistencia, si pueden encajar dentro del tono, no reducen mucho esta tendencia, para un rango de tonos intermedios, [174] o incluso la empeoran para el caso de 18-27 nm y iluminación de cuásar. [175] Los orificios de contacto de 50 nm en pasos de 100 nm y 150 tenían las mejores posiciones de enfoque separados por aproximadamente 25 nm; Se espera que las características más pequeñas sean peores. [176] Los orificios de contacto en el rango de paso de 48 a 100 nm mostraron un mejor rango de enfoque de 37 nm. [177] La ​​mejor posición de enfoque frente al tono también depende de la resistencia. [178] Las capas críticas a menudo contienen líneas en un paso mínimo de una polaridad, por ejemplo, trincheras de campo oscuro, en una orientación, por ejemplo, vertical, mezcladas con espacios de la otra polaridad de la otra orientación. Esto a menudo magnifica las mejores diferencias de enfoque y desafía las imágenes de punta a punta y de punta a línea. [179]

Reducción del llenado de la pupila.

La rotación de la pupila a través de la rendija fuerza el uso de un relleno de pupila mucho más bajo (dentro de los trapecios o rectángulos) para la iluminación dipolo.

Una consecuencia de la SMO y del cambio de ventanas de enfoque ha sido la reducción del llenado de la pupila. En otras palabras, la iluminación óptima es necesariamente una superposición optimizada de las iluminaciones preferidas para los diversos patrones que deben considerarse. Esto conduce a un menor llenado de la pupila y proporciona mejores resultados. Sin embargo, el rendimiento se ve afectado por debajo del 20% del llenado de la pupila debido a la absorción. [180] [181] [182] [183] ​​[57]

Máscaras de cambio de fase

Perfil de fase de máscara de cambio de fase atenuada para EUV. El perfil de fase (rojo) para una máscara de cambio de fase atenuada utilizada con un absorbente EUV de transmisión parcial no coincide con el diseño de perfil ideal (punteado), debido a la iluminación de incidencia oblicua y la dispersión del borde del absorbente.

Una ventaja comúnmente promocionada de EUV ha sido la relativa facilidad de la litografía, como lo indica la relación entre el tamaño de la característica y la longitud de onda multiplicada por la apertura numérica, también conocida como relación k1. Un ancho de línea de metal de 18 nm tiene un k1 de 0,44 para una longitud de onda de 13,5 nm, 0,33 NA, por ejemplo. Para k1 que se acerca a 0,5, se ha utilizado alguna mejora de resolución débil, incluidas máscaras de cambio de fase atenuadas, como esencial para la producción con la longitud de onda del láser ArF (193 nm), [184] [185] [186] [187] [188] [189] mientras que esta mejora de resolución no está disponible para EUV. [190] [191] [192] En particular, los efectos de máscara 3D, incluida la dispersión en los bordes del absorbente, distorsionan el perfil de fase deseado. [191] Además, el perfil de fase se deriva efectivamente del espectro de onda plana reflejado desde la multicapa a través del absorbente en lugar de la onda plana incidente. [193] Sin absorbentes, la distorsión del campo cercano también se produce en una pared lateral multicapa grabada debido a la iluminación de incidencia oblicua; [194] parte de la luz atraviesa sólo un número limitado de bicapas cerca de la pared lateral. [71] Además, las diferentes polarizaciones (TE y TM) tienen diferentes cambios de fase. [71] Fundamentalmente, una máscara de cambio de fase sin cromo permite dividir el tono mediante la supresión del orden difractado cero en la máscara, pero fabricar una máscara de cambio de fase de alta calidad para EUV ciertamente no es una tarea trivial. Una posible forma de lograrlo es mediante el filtrado espacial en el plano de Fourier del patrón de máscara. En el Laboratorio Nacional Lawrence Berkeley, la luz de orden cero es un sistema centralmente oscurecido, y los órdenes difractados +/-1 serán capturados por la apertura clara, proporcionando un equivalente funcional a la máscara de cambio de fase sin cromo mientras se utiliza una amplitud binaria convencional. mascarilla. [195]

Exposición fotorresistente EUV: el papel de los electrones

La luz EUV genera fotoelectrones tras la absorción por la materia. Estos fotoelectrones, a su vez, generan electrones secundarios, que se ralentizan antes de participar en reacciones químicas. [196] En dosis suficientes, se sabe que los electrones de 40 eV penetran una resistencia de 180 nm de espesor que conduce al desarrollo. [197] A una dosis de 160 μC/cm 2 , correspondiente a una dosis EUV de 15 mJ/cm 2 suponiendo un electrón/fotón, 30 electrones eV eliminaron 7 nm de resistencia de PMMA después del desarrollo estándar. [198] Para una dosis superior de 30 eV de 380 μC/cm 2 , equivalente a 36 mJ/cm 2 en un electrón/fotón, se eliminan 10,4 nm de resistencia de PMMA. [199] Estos indican las distancias que los electrones pueden viajar en resistencia, independientemente de la dirección. [200]

En la medición más reciente del impacto significativo de los electrones secundarios en la resolución, se encontró que los fotoelectrones de 93 eV (de una capa inferior de oro) tenían una longitud de atenuación de 1/e de 28 nm en resistencia. [201] La atenuación del número de electrones se midió a partir de la fracción de electrones capturados en una corriente eléctrica de la resistencia. Esto indica que el 37% de los electrones liberados aún migran más allá de 28 nm desde el punto de liberación de exposición. Hay dos tipos de resistencias utilizadas en EUVL: CAR (resistencias químicamente amplificadas) y resistencias de óxido metálico que contienen nanoclusters de óxido metálico, que a menudo contienen óxido de estaño. [202] La litografía EUV es única en el sentido de que permite el uso de fotoprotectores con óxidos metálicos. [203]

La resistencia más absorbente elimina más luz en la parte superior de la resistencia, dejando menos para la parte inferior de la resistencia. La mayor absorción conduce a diferencias mayores y más significativas entre las dosis absorbidas en la parte superior e inferior de la resistencia.

En otras palabras, cuanto menos absorbente sea la resistencia, más uniforme verticalmente será la absorción. Convencionalmente, los fotorresistentes se fabrican lo más transparentes posible para lograr esta uniformidad vertical, lo que permite perfiles resistentes más rectos. Por otro lado, para EUV, esto entra en conflicto con el objetivo de aumentar la absorción para lograr una mayor sensibilidad a los niveles de potencia actuales de EUV. El ruido de disparo es otra preocupación, que se explicará más adelante.

Impacto del viaje de fotoelectrones y electrones secundarios en la resolución.

Migración de electrones de baja energía. La distancia de viaje de los electrones de baja energía (r) puede alcanzar al menos varios nanómetros, aunque la distancia entre colisiones de electrones (dispersión) es de 1 nm.

Un estudio realizado por la Facultad de Ciencias e Ingeniería a Nanoescala (CNSE) presentado en el Taller EUVL de 2013 indicó que, como medida del fotoelectrón EUV y el desenfoque del electrón secundario, electrones de 50 a 100 eV penetraron fácilmente más allá de los 15 nm de espesor de resistencia (PMMA o comercial). resist), lo que indica un rango de resistencia afectado de más de 30 nm centrado en el punto de absorción EUV, para dosis superiores a 200–300 uC/cm 2 . [204] Esto se puede comparar con la degradación del contraste de la imagen reportada para pasos inferiores a 40 nm más adelante en 2015. [205] [206]

El proceso de penetración de electrones a través de una resistencia es esencialmente un proceso estocástico; Existe una probabilidad finita de que la resistencia a la exposición de los electrones liberados pueda ocurrir bastante lejos del punto de absorción de los fotones. [207] [208] Al aumentar la dosis, aumenta el número de electrones de largo alcance, lo que da como resultado una pérdida de resistencia más prolongada. Una importante resistencia EUV amplificada químicamente expuesta a electrones de 80 eV a una dosis de hasta 80 uc/cm 2 mostró una pérdida de espesor de la resistencia de hasta 7,5 nm. [209] Para una resistencia de fuente abierta expuesta cerca de 200 uC/cm 2 por electrones de 80 eV, el espesor de la resistencia perdido después del horneado y el desarrollo posterior a la exposición fue de alrededor de 13 nm, mientras que al duplicar la dosis se produjo un aumento de la pérdida a 15 nm. [210] Por otro lado, para dosis >500 uC/cm 2 , la resistencia comienza a espesarse debido a la reticulación. [209]

Se ha demostrado que el grado de emisión de fotoelectrones de la capa subyacente del fotoprotector EUV afecta la profundidad de enfoque. [211] Desafortunadamente, las capas de máscara dura tienden a aumentar la emisión de fotoelectrones, degradando la profundidad de enfoque. Los electrones de imágenes desenfocadas en la resistencia también pueden afectar la imagen de mejor enfoque. [212]

La generación de fotoelectrones en el propio fotorresistente es una parte fundamental de la formación de la imagen y está marcada por una dispersión aleatoria que aumenta con la dosis. [213] [214] [215] La naturaleza estocástica de la dispersión del fotoelectrón se extiende al menos a 10 nm. [216]

La aleatoriedad del número de electrones secundarios es en sí misma una fuente de comportamiento estocástico en imágenes resistentes a EUV. [217] [215] La longitud de escala del desenfoque electrónico en sí tiene una distribución. [218] [219] [220] [221] Intel demostró con una simulación rigurosa que los electrones liberados por EUV se dispersan a distancias superiores a 15 nm en resistencias EUV. [222] [223]

El desenfoque electrónico también se ve afectado por la reflexión interna total desde la superficie superior de la película protectora. [224] [225]

Desenfoque de electrones secundarios versus dosis

Se han utilizado mediciones directas de fotocorriente para obtener rendimientos de electrones secundarios en respuesta a la radiación EUV. Las simulaciones aproximadamente calibradas para este rendimiento muestran que la borrosidad del electrón secundario aumenta con la dosis. [226] Esto se asocia con menos sitios de captura a medida que aumenta la dosis y continúan las reacciones que agotan los sitios de captura.

Los eventos inducidos por electrones de baja energía también aumentan en número a una distancia determinada del sitio de absorción del fotón, a medida que aumenta la dosis. [227] Esto ha sido confirmado mediante mediciones de pérdida de espesor de resistencia en función de la dosis de electrones de baja energía. [228] La dispersión de electrones secundarios dependiente de la dosis también se conocía anteriormente a partir de la litografía por haz de electrones. [229]

El aumento de la borrosidad de los electrones secundarios con una mayor dosis dificulta el control de los defectos estocásticos. [230]

Efecto de las capas subyacentes.

Los electrones de las capas debajo de la resistencia pueden afectar el perfil y el inicio del colapso.

Los electrones secundarios de las capas debajo de la resistencia pueden afectar el perfil de la resistencia así como el colapso del patrón. [231] Por lo tanto, la selección tanto de la capa inferior como de la capa debajo de esa capa son consideraciones importantes para la litografía EUV. Además, los electrones de imágenes desenfocadas pueden agravar la naturaleza estocástica de la imagen. [232]

Carga y captura de electrones.

Debido a la producción de electrones secundarios de diversas energías, la carga de la resistencia puede fluctuar localmente. [233] Una exposición EUV con menos desenfoque conduce a diferencias de carga más pronunciadas en el borde de la característica, [234] lo que puede generar campos eléctricos más grandes. [235] Se ha observado que campos eléctricos tan grandes conducen a una ruptura dieléctrica. [233] La captura de electrones secundarios conduce a una reducción de los electrones secundarios emitidos por la película; [233] sin embargo, los sitios de trampa pueden agotarse, lo que da como resultado un desenfoque de electrones secundario efectivamente extendido para dosis mayores. [226] Se predice que el atrapamiento de electrones ocurrirá como parte del comportamiento polarónico, [236] [237] que limita la deposición de energía final del electrón a las ubicaciones del sitio de trampa. El tamaño del polarón puede ser bastante grande en resistencias, por ejemplo, 46 ​​nm en PMMA. [237]

Efectos de la contaminación

Resistir la desgasificación

Contaminación por desgasificación versus dosis EUV: El aumento de la dosis al tamaño ( tamaño E ) para reducir el ruido y la aspereza del disparo tiene el precio de una mayor contaminación por desgasificación . El espesor de contaminación que se muestra aquí es relativo a una resistencia de referencia.

Debido a la alta eficiencia de absorción de EUV por parte de los fotoprotectores, el calentamiento y la desgasificación se convierten en las principales preocupaciones. Un problema bien conocido es la deposición de contaminación en la resistencia por hidrocarburos ambientales o desgasificados, que resulta de reacciones impulsadas por EUV o electrones. [238] Los fotorresistentes orgánicos desgasifican los hidrocarburos [239] mientras que los fotorresistentes de óxido metálico desgasifican agua y oxígeno [240] y metal (en un ambiente de hidrógeno); el último no se puede limpiar. [55] Se sabe que la contaminación por carbono afecta la reflectividad multicapa [241], mientras que el oxígeno es particularmente dañino para las capas de cubierta de rutenio (relativamente estables en condiciones EUV e hidrógeno) en la óptica multicapa EUV. [242]

Redeposición de estaño

El hidrógeno atómico en las cámaras de herramientas se utiliza para limpiar el estaño y el carbón que se depositan en las superficies ópticas EUV. [243] El hidrógeno atómico se produce mediante luz EUV que fotoioniza directamente el H2 :

hν + H 2 → H + + H + mi [244]

Los electrones generados en la reacción anterior también pueden disociar el H2 para formar hidrógeno atómico:

mi - + H 2 → H + + H + 2e - [244]

La reacción con el estaño en la fuente de luz (p. ej., estaño en una superficie óptica de la fuente) para formar SnH4 volátil (estanano) que puede bombearse desde la fuente se produce a través de la reacción:

Sn (s) + 4H (g) → SnH 4 (g). [243]

El SnH 4 puede llegar a los recubrimientos de otras superficies ópticas EUV, donde vuelve a depositar Sn mediante la reacción:

SnH 4 → Sn (s) + 2H 2 (g). [243]

La redeposición también puede ocurrir mediante otras reacciones intermedias. [245]

El Sn redepositado [54] [55] podría eliminarse posteriormente mediante exposición al hidrógeno atómico. Sin embargo, en general, la eficiencia de limpieza del estaño (la relación entre el flujo de estaño eliminado de una muestra de estaño y el flujo de hidrógeno atómico de la muestra de estaño) es inferior al 0,01%, debido tanto a la redeposición como a la desorción de hidrógeno, lo que lleva a la formación de moléculas de hidrógeno. a expensas del hidrógeno atómico. [243] La eficiencia de limpieza del estaño para el óxido de estaño es aproximadamente dos veces mayor que la del estaño (con una capa de óxido nativo de ~ 2 nm). [243] Inyectar una pequeña cantidad de oxígeno a la fuente de luz puede mejorar la tasa de limpieza del estaño.

Ampollas de hidrógeno

Defectos ampollantes inducidos por hidrógeno. El hidrógeno atómico (puntos rojos) utilizado para limpiar superficies puede penetrar debajo de la superficie. En las multicapas de Mo/Si, se forma y atrapa H2 (puntos rojos emparejados), lo que da como resultado la formación de ampollas (región blanca) .

El hidrógeno también reacciona con compuestos que contienen metales para reducirlos a metal [246] y se difunde a través del silicio [247] y el molibdeno [248] en la multicapa, provocando finalmente la formación de ampollas. [249] [250] [251] Las capas de cobertura que mitigan los daños relacionados con el hidrógeno a menudo reducen la reflectividad muy por debajo del 70%. [250] Se sabe que las capas de cobertura son permeables a los gases ambientales, incluidos el oxígeno [252] y el hidrógeno, [253] [254] [255] [256] , así como susceptibles a los defectos de formación de ampollas inducidos por el hidrógeno. [257] [249] El hidrógeno también puede reaccionar con la capa protectora, lo que resulta en su eliminación. [258]

escupir estaño

El hidrógeno puede penetrar el estaño fundido (Sn), creando burbujas de hidrógeno en su interior. Si las burbujas se mueven en la superficie del estaño fundido, entonces estalla con estaño, lo que hace que el estaño se extienda en un amplio rango de ángulos. Este fenómeno se llama proyección de estaño y es una de las fuentes de contaminación del colector EUV.

Resistir la erosión

El hidrógeno también reacciona con resistencias para grabarlas [259] [260] o descomponerlas [261] . Además del fotorresistente, los plasmas de hidrógeno también pueden grabar silicio, aunque muy lentamente. [262] [ se necesita fuente no primaria ]

Membrana

Para ayudar a mitigar los efectos anteriores, la última herramienta EUV introducida en 2017, la NXE:3400B, cuenta con una membrana que separa la oblea de la óptica de proyección de la herramienta, protegiendo esta última de la desgasificación de la resistencia de la oblea. [57] La ​​membrana contiene capas que absorben la radiación DUV e IR, y transmite entre el 85% y el 90% de la radiación EUV incidente. Por supuesto, hay contaminación acumulada por la desgasificación de las obleas, así como por partículas en general (aunque estas últimas están desenfocadas, aún pueden obstruir la luz).

Plasma inducido por EUV

La carga de electrones del plasma inducido por EUV se produce incluso fuera del área de exposición a EUV (bordes morados)

Los sistemas litográficos EUV que utilizan luz EUV funcionan con gas de fondo de hidrógeno de 1 a 10 Pa. [263] El plasma es una fuente de radiación VUV [264] así como de electrones e iones de hidrógeno [265] Se sabe que este plasma graba materiales expuestos. [265] [266] La radiación de plasma también constituye una exposición no EUV a resistencias EUV, lo que dará como resultado una mayor densidad de defectos estocásticos. [267]

En 2023, se publicó un estudio apoyado por TSMC que indicaba una carga neta por electrones del plasma así como por la emisión de electrones. [268] Se descubrió que la carga se producía incluso fuera del área de exposición EUV, lo que indica que el área circundante había estado expuesta a electrones.

Defectos de máscara

Imprimibilidad de defectos EUV versus tono. La imprimibilidad (aquí 10% CD) de un defecto de una altura y un ancho determinados varía según el paso. Tenga en cuenta que incluso la rugosidad de la superficie de la multicapa aquí puede tener un impacto notable.

La reducción de defectos en las máscaras ultravioleta extrema (EUV) es actualmente una de las cuestiones más críticas que deben abordarse para la comercialización de la litografía EUV. [269] Los defectos pueden estar enterrados debajo o dentro de la pila multicapa [270] o estar encima de la pila multicapa. En los objetivos de pulverización utilizados para la deposición multicapa se forman mesas o protuberancias que pueden caer en forma de partículas durante la deposición multicapa. [271] De hecho, los defectos de altura de escala atómica (0,3–0,5 nm) con FWHM de 100 nm aún pueden imprimirse al exhibir un impacto de CD del 10%. [272] IBM y Toppan informaron en Photomask Japan 2015 que los defectos más pequeños, por ejemplo, de 50 nm de tamaño, pueden tener un impacto de CD del 10% incluso con una altura de 0,6 nm, pero siguen siendo indetectables. [273]

Además, el borde de un defecto de fase reducirá aún más la reflectividad en más de un 10% si su desviación de la planitud excede los 3 grados, debido a la desviación del ángulo de incidencia objetivo de 84 grados con respecto a la superficie. Incluso si la altura del defecto es poco profunda, el borde aún deforma la multicapa superpuesta, produciendo una región extendida donde la multicapa está inclinada. Cuanto más abrupta sea la deformación, más estrecha sea la extensión del borde del defecto, mayor será la pérdida de reflectividad.

La reparación de defectos de la máscara EUV también es más complicada debido a la variación de iluminación a través de la rendija mencionada anteriormente. Debido a la diferente sensibilidad al sombreado a lo largo de la rendija, la altura de deposición de la reparación debe controlarse con mucho cuidado, siendo diferente en diferentes posiciones a lo largo de la rendija de iluminación de la máscara EUV. [274]

Variaciones aleatorias de reflectividad multicapa.

GlobalFoundries y Lawrence Berkeley Labs llevaron a cabo un estudio de Monte Carlo para simular los efectos de la mezcla entre las capas de molibdeno (Mo) y silicio (Si) en la multicapa que se utiliza para reflejar la luz EUV de la máscara EUV. [275] Los resultados indicaron una alta sensibilidad a las variaciones a escala atómica del espesor de la capa. Estas variaciones no podrían detectarse mediante mediciones de reflectividad de área amplia, pero serían significativas en la escala de la dimensión crítica (CD). [275] La variación local de la reflectividad podría ser del orden del 10% para una desviación estándar de unos pocos nm. [276]

Daño multicapa

Múltiples pulsos EUV a menos de 10 mJ/cm 2 podrían acumular daños en un elemento óptico de espejo multicapa de Mo/Si recubierto de Ru. [277] El ángulo de incidencia fue de 16° o 0,28 rads, que está dentro del rango de ángulos para un sistema óptico de 0,33 NA.

Películas

Las herramientas de producción EUV necesitan una película para proteger la máscara de la contaminación. Normalmente se espera que las películas protejan la máscara de partículas durante el transporte, la entrada o salida de la cámara de exposición, así como la exposición misma. Sin películas, los sumadores de partículas reducirían el rendimiento, lo que no ha sido un problema para la litografía óptica convencional con luz de 193 nm y películas. Sin embargo, para EUV, la viabilidad del uso de películas se ve seriamente cuestionada, debido a la delgadez requerida de las películas protectoras para evitar una absorción excesiva de EUV. La contaminación por partículas sería prohibitiva si las películas no fueran estables por encima de 200 W, es decir, la potencia prevista para la fabricación. [278]

El calentamiento de la película de la máscara EUV (temperatura de la película de hasta 750 K para una potencia incidente de 80 W) es una preocupación importante, debido a la disminución resultante de la deformación y la transmisión. [279] ASML desarrolló una membrana de película de polisilicio de 70 nm de espesor, que permite una transmisión EUV del 82%; sin embargo, menos de la mitad de las membranas sobrevivieron a los niveles de potencia EUV esperados. [280] Las membranas de película SiNx también fallaron a niveles de potencia de fuente EUV equivalentes a 82 W. [281] A los niveles objetivo de 250 W, se espera que la película alcance los 686 grados Celsius, [282] muy por encima del punto de fusión del aluminio. Los materiales alternativos deben permitir una transmisión suficiente y mantener la estabilidad mecánica y térmica. Sin embargo, el EUV daña el grafito, el grafeno u otros nanomateriales de carbono (nanoláminas, nanotubos) debido a la liberación de electrones [283] y también se graban con demasiada facilidad en el plasma de limpieza de hidrógeno que se espera que se implemente en los escáneres EUV. [284] Los plasmas de hidrógeno también pueden grabar el silicio. [285] [286] Un recubrimiento ayuda a mejorar la resistencia al hidrógeno, pero esto reduce la transmisión y/o la emisividad, y también puede afectar la estabilidad mecánica (por ejemplo, abombamiento). [287]

Las arrugas en las películas pueden causar falta de uniformidad en la CD debido a una absorción desigual; esto es peor en el caso de arrugas más pequeñas y una iluminación más coherente, es decir, un menor llenado de la pupila. [288]

En ausencia de películas, la limpieza de la máscara EUV debería comprobarse antes de exponer las obleas del producto, utilizando obleas especialmente preparadas para la inspección de defectos. [289] Estas obleas se inspeccionan después de la impresión para detectar defectos repetidos que indiquen una máscara sucia; si se encuentra alguna, se debe limpiar la mascarilla y se expone otro conjunto de obleas de inspección, repitiendo el flujo hasta que la mascarilla esté limpia. Cualquier oblea de producto afectada debe ser reelaborada.

TSMC informó haber iniciado un uso limitado de su propia película en 2019 y continuar expandiéndolo después, [290] y Samsung está planeando la introducción de la película en 2022. [291]

Defectos de abultamiento de hidrógeno

Como se analizó anteriormente, con respecto a la eliminación de la contaminación, el hidrógeno utilizado en los sistemas EUV recientes puede penetrar las capas de la máscara EUV. Una vez atrapados, se produjeron defectos de abultamiento. [257] Estos son esencialmente los defectos de ampollas que surgen después de una cantidad suficiente de exposiciones a máscaras EUV en el ambiente de hidrógeno.

Límites de escalamiento de rendimiento

Problemas estocásticos EUV

Ruido de disparo que provoca variaciones significativas en el CD.

La litografía EUV es particularmente sensible a los efectos estocásticos. [292] [293] En una gran población de características impresas por EUV, aunque la inmensa mayoría se resuelven, algunas fallan por completo al imprimirse, por ejemplo, faltan agujeros o líneas puente. Una contribución significativa conocida a este efecto es la dosis utilizada para imprimir. [294] Esto está relacionado con el ruido de disparo , que se analizará más adelante. Debido a las variaciones estocásticas en los números de fotones que llegan, algunas áreas designadas para imprimir en realidad no logran alcanzar el umbral para imprimir, dejando regiones defectuosas no expuestas. La parte inferior de la capa protectora es más susceptible a la subexposición debido a la absorción en la parte superior. [295] Algunas áreas pueden estar sobreexpuestas, lo que provoca una pérdida excesiva de resistencia o reticulación. La probabilidad de falla estocástica aumenta exponencialmente a medida que el tamaño de la característica disminuye, y para el mismo tamaño de característica, aumentar la distancia entre características también aumenta significativamente la probabilidad. [294] [296] Los cortes de línea que están deformados son un problema importante debido a posibles arcos y cortocircuitos. [297] El rendimiento requiere la detección de fallas estocásticas por debajo de 1e-12. [294]

La tendencia a los defectos estocásticos es peor cuando la imagen consta de fotones de diferentes patrones, como un patrón de área grande [298] o un desenfoque sobre un gran relleno de pupila. [299] [300]

Los defectos estocásticos tienden a ocurrir cuando hay una variación estocástica de la dosis absorbida cerca de la dosis umbral. Estos se indican por el lugar donde tienden a acumularse las motas azules.

Pueden existir múltiples modos de falla para la misma población. Por ejemplo, además de tender puentes sobre las trincheras, se pueden romper las líneas que separan las trincheras. [294] Esto se puede atribuir a la pérdida de resistencia estocástica, [292] de los electrones secundarios. [210] [301] La aleatoriedad del número de electrones secundarios es en sí misma una fuente de comportamiento estocástico en imágenes resistentes a EUV. [217]

Algunos patrones son más vulnerables a defectos estocásticos, debido al pellizco entre las esquinas, [302] lóbulos laterales, [303] o el espacio entre puntos. [304] [305]

La coexistencia de regiones defectuosas estocásticamente subexpuestas y sobreexpuestas conduce a una pérdida de ventana de dosis en un cierto nivel de defecto post-grabado entre los acantilados del patrón de dosis baja y alta. [306] [307] [308] [309] Por lo tanto, se pierde el beneficio de resolución de una longitud de onda más corta.

La capa inferior protectora también juega un papel importante. [294] Esto podría deberse a los electrones secundarios generados por la capa inferior. [205] Los electrones secundarios pueden eliminar más de 10 nm de resistencia del borde expuesto. [210] [310]

El nivel de defecto es del orden de 1K/ mm2 . [311] En 2020, Samsung informó que los diseños de 5 nm tenían riesgos de defectos de proceso y había comenzado a implementar verificación y reparación automatizadas. [312]

El ruido de los disparos de fotones puede vincularse a defectos estocásticos mediante la presencia de un desenfoque dependiente de la dosis (modelado como gaussiano). [313] [314] Las regiones de mayor desenfoque pueden convertirse en ubicaciones de defectos estocásticos. [315]

El ruido de disparo de fotones también conduce a un error estocástico de colocación de bordes, [316] [317] [318] que puede exceder 1 nm. [319] El error puede exceder los 2 nm cuando se considera la brecha entre dos funciones de dispersión de puntos. [320]

Posición final de línea afectada por el ruido de disparo. La variación natural del número de fotones EUV puede hacer que cambie la posición del final de la línea.

El ruido del disparo de fotones se ve aumentado hasta cierto punto por factores de desenfoque como electrones secundarios o ácidos en resistencias químicamente amplificadas; cuando es significativo, el desenfoque también reduce el contraste de la imagen en el borde. [321] El desenfoque también puede deberse al desvanecimiento de la imagen. [322] Dado que el desenfoque es menor que el tamaño de la característica, el área encerrada total de la característica aún puede tener fluctuaciones. [323] Es peor para las longitudes de onda EUV que para DUV debido a la menor densidad de fotones en las dosis comúnmente utilizadas, así como a tamaños de características más pequeños representados por píxeles más pequeños. [324]

Incluso teniendo en cuenta la borrosidad, la distribución final de las especies químicas sigue siendo estocástica. Una dosis más alta ayuda a reducir la estocasticidad, pero genera una mayor borrosidad, lo que puede extender el alcance de las fluctuaciones estocásticas. [325]

Otro enfoque para abordar problemas estocásticos es utilizar grabado direccional para suavizar los bordes de las paredes laterales. [326]

La resolución de la litografía EUV para el futuro enfrenta desafíos en cuanto a mantener el rendimiento, es decir, cuántas obleas procesa una herramienta EUV por día. Estos desafíos surgen de campos más pequeños, espejos adicionales y ruido de disparo. Para mantener el rendimiento, la potencia en el foco intermedio (IF) debe aumentarse continuamente.

Con la distribución natural de Poisson debido a los tiempos aleatorios de llegada y absorción de los fotones, [327] [328] existe una variación esperada de la dosis natural (número de fotones) de al menos varios porcentajes de 3 sigma, lo que hace que el proceso de exposición sea susceptible a variaciones estocásticas. . La variación de dosis conduce a una variación de la posición del borde de la característica, convirtiéndose efectivamente en un componente de desenfoque. A diferencia del límite estricto de resolución impuesto por la difracción, el ruido de disparo impone un límite más suave, siendo la pauta principal la especificación de rugosidad del ancho de línea (LWR) del ITRS del 8% (3 s) del ancho de línea. [329] Aumentar la dosis reducirá el ruido del disparo, [330] pero esto también requiere una fuente de energía más alta.

Una región de función de asistencia de 10 nm de ancho y 10 nm de largo, con una dosis objetivo sin impresión de 15 mJ/cm 2 , con una absorción del 10 %, está definida por poco más de 100 fotones, lo que conduce a un ruido de 6 s del 59 %, correspondiente a un rango de dosis estocástica de 6 a 24 mJ/cm 2 , lo que podría afectar la imprimibilidad.

Un estudio de 2017 realizado por Intel mostró que para vías semiaisladas (cuyo disco Airy puede aproximarse mediante un gaussiano), la sensibilidad del CD a la dosis era particularmente fuerte, [331] lo suficientemente fuerte como para que una reducción de la dosis pudiera conducir de manera no lineal a una falla en la respuesta. imprimir la vía.

Las dos cuestiones del ruido de disparo y los electrones liberados por EUV señalan dos factores restrictivos: 1) mantener la dosis lo suficientemente alta para reducir el ruido de disparo a niveles tolerables, pero también 2) evitar una dosis demasiado alta debido a la mayor contribución de los fotoelectrones liberados por EUV y electrones secundarios al proceso de exposición resistente, aumentando el desenfoque del borde y limitando así la resolución. Aparte del impacto en la resolución, una dosis más alta también aumenta la desgasificación [332] y limita el rendimiento, y la reticulación [333] se produce a niveles de dosis muy altos. Para resistencias amplificadas químicamente, la exposición a dosis más altas también aumenta la rugosidad del borde de la línea debido a la descomposición del generador de ácido. [334]

Incluso con una mayor absorción a la misma dosis, EUV tiene una mayor preocupación por el ruido de disparo que la longitud de onda ArF (193 nm), principalmente porque se aplica a resistencias más delgadas. [295]

Como se puede ver arriba, en los niveles de dosis incidente objetivo, se absorben significativamente menos fotones EUV en las resistencias EUV en comparación con los fotones ArF en las resistencias ArF. A pesar de la mayor transparencia de la resistencia, el flujo de fotones incidentes es aproximadamente 14 veces mayor (193/13,5) para la misma dosis de energía por unidad de área. El rendimiento cuántico hace que la respuesta de la resistencia sea comparable a la de las resistencias amplificadas químicamente para longitudes de onda ArF y EUV, pero las resistencias ArF también permiten un mayor desenfoque, lo que permite un mayor suavizado. [335] El espesor de la resistencia está limitado por la transparencia, así como por consideraciones de resistencia al colapso [340] y resistencia a la tira [341] .

Debido a consideraciones estocásticas, la hoja de ruta de litografía IRDS 2022 ahora reconoce dosis crecientes para tamaños de características más pequeños. [342] [343] Sin embargo, incluso aumentar la dosis un factor de 4 puede no ser suficiente para eliminar los defectos estocásticos y, al mismo tiempo, perjudicar el rendimiento. [305]

La resolución EUV probablemente se verá comprometida por efectos estocásticos. Los tonos más pequeños se verán más afectados por el ruido y el desenfoque de los disparos de fotones, con defectos ya evidentes a 30 nm. [344] [345] ASML indicó que el paso de 30 nm no usaría exposición directa sino patrón doble. [346] Intel no utilizó EUV para el paso de 30 nm. [347]

Las densidades de defectos estocásticos actuales han excedido 1/cm2 , con un paso de 36 nm; esto empeora para tonos más pequeños e implica que las exposiciones EUV solo deben incluir tonos suficientemente grandes. [348] [349] [350]

Proporción de llenado de alumnos

A medida que el tono disminuye, se puede utilizar una fracción más pequeña de la pupila (menor ángulo de iluminación).

Para tonos inferiores a la mitad de la longitud de onda dividida por la apertura numérica, es necesaria la iluminación dipolo. Esta iluminación llena como máximo una zona en forma de hoja en el borde de la pupila. Sin embargo, debido a los efectos 3D en la máscara EUV, [351] los tonos más pequeños requieren porciones aún más pequeñas de esta forma de hoja. Por debajo del 20% de la pupila, el rendimiento y la estabilidad de la dosis comienzan a verse afectados. [57] Una apertura numérica más alta permite utilizar un mayor relleno de pupila para el mismo tono, pero la profundidad de enfoque se reduce significativamente. [352]

Usar con múltiples patrones

Se prevé que EUV utilice un patrón doble con un paso de aproximadamente 34 nm con 0,33 NA. [353] [354] Esta resolución es equivalente a '1Y' para DRAM. [355] [356] En 2020, ASML informó que la capa M0 de 5 nm (paso mínimo de 30 nm) requería un patrón doble. [346] En el segundo semestre de 2018, TSMC confirmó que su esquema EUV de 5 nm todavía usaba múltiples patrones , [357] indicando también que el recuento de máscaras no disminuyó desde su nodo de 7 nm, que usaba múltiples patrones DUV extensos, hasta su nodo de 5 nm. nodo, que utilizó EUV extenso. [358] Los proveedores de EDA también indicaron el uso continuo de flujos de patrones múltiples. [359] [360] Si bien Samsung introdujo su propio proceso de 7 nm con patrón único EUV, [361] encontró un ruido severo de disparo de fotones que causaba una rugosidad excesiva en la línea, lo que requería una dosis más alta, lo que resultaba en un menor rendimiento. [327] El nodo de 5 nm de TSMC utiliza reglas de diseño aún más estrictas. [362] Samsung indicó que las dimensiones más pequeñas tendrían un ruido de disparo más severo. [327]

Con un espaciado de centro a centro de 38 nm o menos, una herramienta EUV de 0,33 NA requeriría un patrón doble o incluso triple para la capa de contacto o vía.

En el esquema de litografía complementaria de Intel a medio paso de 20 nm, EUV se usaría sólo en una segunda exposición de corte de línea después de una primera exposición de impresión de línea de 193 nm. [363]

También se esperarían exposiciones múltiples cuando dos o más patrones en la misma capa, por ejemplo, diferentes pasos o anchos, deban usar diferentes formas de pupila de origen optimizadas. [364] [365] [366] [367] Por ejemplo, al considerar una matriz de barras escalonadas de paso vertical de 64 nm, cambiar el paso horizontal de 64 nm a 90 nm cambia significativamente la iluminación optimizada. [58] La optimización de la máscara de fuente que se basa únicamente en rejillas de espacio lineal y rejillas de punta a punta no implica mejoras para todas las partes de un patrón lógico, por ejemplo, una zanja densa con un espacio en un lado. [364] [368]

En 2020, ASML informó que para el nodo de 3 nm, los espaciados de contacto/vía de centro a centro de 40 nm o menos requerirían un patrón doble o triple para algunas disposiciones de contacto/vía. [369]

Para el paso de metal de 24 a 36 nm, se descubrió que el uso de EUV como (segunda) exposición de corte tenía una ventana de proceso significativamente más amplia que como una exposición única completa para la capa de metal. [370] [364]

También se esperan múltiples exposiciones de la misma máscara para el manejo de defectos sin películas, lo que limita la productividad de manera similar a la de múltiples patrones. [289]

Litograbado-litograbado autoalineado (SALELE) es una técnica híbrida SADP/LELE cuya implementación se inició en 7 nm [371] y su uso continúa en 5 nm. [372] El grabado-litograbado autoalineado (SALELE) se ha convertido en una forma aceptada de doble patrón para usarse con EUV, comenzando en el nodo de 5 nm, donde algunas capas tienen un paso de 28 nm. [372]

Extensión de patrón único: anamórfico alto-NA

Los lóbulos laterales presentan un riesgo mayor con variaciones estocásticas, a una cierta distancia de centro a centro. Este riesgo es elevado debido al oscurecimiento central en los sistemas EUV de alta NA.

Sería posible volver a generaciones extendidas de patrones únicos con herramientas de mayor apertura numérica (NA). Una NA de 0,45 podría requerir un ajuste de un pequeño porcentaje. [373] El aumento de la demagnificación podría evitar esta resintonización, pero el tamaño reducido del campo afecta gravemente a los patrones grandes (un troquel por campo de 26 mm × 33 mm), como los chips Xeon de 14 nm con transistores multimillonarios y muchos núcleos. [374] al requerir la unión en el campo de dos exposiciones de máscara. [375]

El oscurecimiento del orden de difracción central prohíbe ciertas combinaciones de tonos mínimos con tonos más grandes (rojo).

En 2015, ASML reveló detalles de su escáner EUV anamórfico de próxima generación, con una NA de 0,55. La desmagnificación aumenta de 4× a 8× sólo en una dirección (en el plano de incidencia). [376] Sin embargo, el 0,55 NA tiene una profundidad de enfoque mucho menor que la litografía de inmersión. [377] Además, se ha descubierto que una herramienta anamórfica de 0,52 NA exhibe demasiada CD y variabilidad de colocación para exposición única de nodo de 5 nm y corte de múltiples patrones. [378]

La reducción de la profundidad de enfoque [379] al aumentar la NA también es una preocupación, [380] [375] especialmente en comparación con exposiciones de patrones múltiples que utilizan litografía de inmersión de 193 nm:

Las herramientas EUV de alta NA también sufren de oscurecimiento, lo que puede causar errores en la obtención de imágenes de ciertos patrones. [375] [381] [382] [383] [384] [385] [386] En particular, los picos y valles de los lóbulos laterales pueden imprimirse de forma estocástica. [387]

Se espera que Intel tenga las primeras herramientas con alto NA para 2025 como muy pronto. [375] [388]

Debido al impacto combinado del oscurecimiento y la rotación transversal, se espera que el rendimiento esté limitado a nodos de 1,x nm. [389]

Para los nodos de menos de 2 nm, los sistemas EUV de alto NA se verán afectados por una serie de problemas: rendimiento, nuevas máscaras, polarización, resistencias más delgadas y aleatoriedad y desenfoque de electrones secundarios. [390] La profundidad de enfoque reducida requiere un espesor de resistencia inferior a 30 nm, lo que a su vez aumenta los efectos estocásticos, debido a la reducción de la absorción de fotones. [391]

Si bien apuntan a tonos inferiores a 20 nm, los sistemas EUV de NA alta no son tan adecuados (en comparación con los de NA baja) para tonos más grandes donde el llenado de la pupila para una profundidad de enfoque suficiente está por debajo del 20 %. [392]

Se estima que el desenfoque electrónico es de al menos ~2 nm, lo que es suficiente para frustrar el beneficio de la litografía EUV de alta NA. [345] [393] [394] [395] [396]

Más allá de la longitud de onda EUV

Una longitud de onda mucho más corta (~6,7 nm) estaría más allá del EUV y, a menudo, se la denomina BEUV (más allá del ultravioleta extremo). [397] Con la tecnología actual, las longitudes de onda BEUV tendrían peores efectos de ruido de disparo sin garantizar una dosis suficiente. [398]

Referencias

  1. ^ O'Sullivan, Gerry; Li, Bowen; D'Arcy, Rebeca; Dunne, Padraig; Hayden, arroz; Kilbane, Deirdre; McCormack, Tom; Ohashi, Hayato; O'Reilly, Fergal; Sheridan, Pablo; Sokell, Emma; Suzuki, Chihiro; Higashiguchi, Takeshi (2015). "Espectroscopia de iones altamente cargados y su relevancia para el EUV y el desarrollo de fuentes de rayos X suaves". Revista de Física B: Física atómica, molecular y óptica . 48 (144025): 144025. Código bibliográfico : 2015JPhB...48n4025O. doi :10.1088/0953-4075/48/14/144025. S2CID  124221931.
  2. ^ G. Yeap et al., 36.7,IEDM 2019.
  3. ^ O. Adán y K. Houchens, Proc. SPIE 10959, 1095904 (2019).
  4. ^ JC Liu y otros, IEDM 2020.
  5. ^ SY. Wu et al., IEDM 2016.
  6. ^ Schor, David (19 de octubre de 2019). "Actualización de Samsung de 5 nm y 4 nm".
  7. ^ Bjorkholm, J.; Bokor, J.; Eichner, L.; Freeman, R.; Mansfield, W.; Szeto, L.; Taylor, D.; Tennant, D.; Madera II, O.; Joya, T.; Blanco, D.; Waskiewicz, W.; Windt, D.; MacDowell, A. (1991). "Litografía de proyección de rayos X suaves". Noticias de Óptica y Fotónica . 2 (5): 27. doi :10.1364/OPN.2.5.000027.
  8. ^ "Creación de EUV: del laboratorio a la fábrica".
  9. ^ Bakshi, Vivek, ed. (2018). Litografía EUV . SPIE PM (Segunda ed.). Bellingham, Washington, Estados Unidos: SPIE Press. ISBN 978-1-5106-1679-0.
  10. ^ "Estados Unidos da el visto bueno a ASML en el esfuerzo EUV". Tiempos EE.UU. 24 de febrero de 1999 . Consultado el 17 de mayo de 2023 .
  11. ^ "Nuestra historia: abastecer a la industria de semiconductores".
  12. ^ "Dentro de la máquina que salvó la ley de Moore".
  13. ^ Sascha Migura (2018). "Óptica para litografía EUV" (PDF) . euvlitho.com . Consultado el 17 de mayo de 2023 .
  14. ^ "El punto de estrangulamiento del chip TheWire China". 8 de febrero de 2021.
  15. ^ "Descripción general de la metrología de máscaras EUV" (PDF) . Archivado desde el original (PDF) el 2 de junio de 2017 . Consultado el 23 de junio de 2019 .
  16. ^ https://www.semiconductor-digest.com/wp-content/uploads/2020/02/SST-Oct-2013.pdf
  17. ^ Montcalm, C. (10 de marzo de 1998). "Recubrimientos reflectantes multicapa para litografía ultravioleta extrema" . 23. Simposio internacional anual de SPIE sobre microlitografía, Santa Clara, CA (Estados Unidos), 22-27 de febrero de 1998. OSTI  310916.
  18. ^ "Próxima edición de EUV: Máscara de efectos 3D". 25 de abril de 2018.
  19. ^ https://www.semiconductor-digest.com/wp-content/uploads/2020/02/SST-Oct-2013.pdf
  20. ^ Krome, Thorsten; Schmidt, Jonás; Nesládek, Pavel (2018). "Integridad de la capa limitadora EUV". En Takehisa, Kiwamu (ed.). Photomask Japón 2018: XXV Simposio sobre fotomáscaras y tecnología de máscaras de litografía de próxima generación . pag. 8. doi :10.1117/12.2324670. ISBN 978-1-5106-2201-2. S2CID  139227547.
  21. ^ "Capas de cobertura optimizadas para multicapas EUV".
  22. ^ "Ingeniería de semiconductores: preparación de batalla en blanco con máscara EUV". 15 de noviembre de 2018.
  23. ^ https://www.semiconductor-digest.com/wp-content/uploads/2020/02/SST-Oct-2013.pdf
  24. ^ Matsumoto, Hiroshi; Yamaguchi, Keisuke; Kimura, Hayato; Nakayamada, Noriaki (23 de agosto de 2021). "Escritor de máscaras multihaz, MBM-2000". En Ando, ​​Akihiko (ed.). Photomask Japón 2021: XXVII Simposio sobre fotomáscaras y tecnología de máscaras litográficas de próxima generación . vol. 11908. ESPÍA. págs. 175–180. doi :10.1117/12.2604378. ISBN 9781510646858. S2CID  233503067 – a través de www.spiedigitallibrary.org.
  25. ^ Waiblinger, M.; Kornílov, K.; Hofmann, T.; Edinger, K. (15 de mayo de 2010). "Reparación de fotomáscara EUV inducida por haz de electrones: una combinación perfecta". En Behringer, Uwe FW; Maurer, Wilhelm (eds.). 26º Congreso Europeo de Máscaras y Litografía . vol. 7545. ESPÍA. págs. 243-250. doi : 10.1117/12.863542. S2CID  137488152 – a través de www.spiedigitallibrary.org.
  26. ^ https://www.semiconductor-digest.com/wp-content/uploads/2020/02/SST-Oct-2013.pdf
  27. ^ Litografía EUV. Prensa SPIE. 2009.ISBN 978-0-8194-6964-9.
  28. ^ Manual de tecnología de fabricación de fotomáscaras. Prensa CRC. 3 de octubre de 2018. ISBN 978-1-4200-2878-2.
  29. ^ Tomie, Toshihisa (21 de mayo de 2012). "Plasma producido con láser de estaño como fuente de luz para la fabricación de gran volumen de litografía ultravioleta extrema: historia, plasma ideal, estado actual y perspectivas". Revista de micro/nanolitografía, MEMS y MOEMS . 11 (2): 021109–1. doi : 10.1117/1.JMM.11.2.021109 . ISSN  1932-5150.
  30. ^ Elg, Daniel T.; Sporre, John R.; Panici, Gianluca A.; Srivastava, Shailendra N.; Ruzic, David N. (2016). "Limpieza de colectores in situ y restauración de reflectividad ultravioleta extrema mediante plasma de hidrógeno para fuentes de ultravioleta extrema" (PDF) . Revista de ciencia y tecnología del vacío A. 34 (21305): 021305. Código bibliográfico : 2016JVSTA..34b1305E. doi :10.1116/1.4942456.
  31. ^ Bosgra, Jeroen; Zoethout, Erwin; van der Eerden, Ad MJ; Verhoeven, enero; van de Kruijs, Robbert WE; Yakshin, Andrey E.; Bijkerk, Fred (2012). "Propiedades estructurales de capas Y de espesor subnanométrico en espejos multicapa ultravioleta extremo". Óptica Aplicada . 51 (36): 8541–8548. Código Bib : 2012ApOpt..51.8541B. doi :10.1364/AO.51.008541. PMID  23262592.
  32. ^ H. Komori y otros. , Proc. SPIE 5374, págs. 839–846 (2004).
  33. ^ BAM Hansson y col. , Proc. SPIE 4688, págs. 102-109 (2002).
  34. ^ SN Srivastava y otros. , J. Appl. Física. 102, 023301 (2007).
  35. ^ "Ruta de pila". www.laserfocusworld.com . 26 de febrero de 2009.
  36. ^ "HS Kim, El futuro de los dispositivos de memoria y la litografía EUV, Simposio EUV 2009" (PDF) . Archivado desde el original (PDF) el 10 de julio de 2015 . Consultado el 25 de octubre de 2012 .
  37. ^ H. Mizoguchi, "Actualización de gigafotones de fuente de luz EUV de plasma producido por láser", Taller de fuente EUVL, 12 de mayo de 2008.
  38. ^ "Detrás de esta puerta: obtenga más información sobre EUV, la máquina compleja más precisa de Intel | youtube.com". YouTube .
  39. ^ Informe anual de ASML 2020, p.68.
  40. ^ "Gigafotón" (PDF) . Archivado desde el original (PDF) el 9 de julio de 2020 . Consultado el 17 de mayo de 2023 .
  41. ^ "Cymer SPIE 2018" (PDF) .
  42. ^ "Actualización del taller Zeiss 2018 EUVL" (PDF) .
  43. ^ "Documento SPIE 2007" (PDF) . Archivado desde el original (PDF) el 12 de agosto de 2017 . Consultado el 28 de julio de 2018 .
  44. ^ "ASML, Taller EUVL 2016, p.14" (PDF) .
  45. ^ Y. Wang e Y. Liu, Proc. SPIE 9283, 928314 (2014).
  46. ^ a b c "R. Capelli et al., Proc. SPIE 9231, 923109 (2014)" (PDF) . Archivado desde el original (PDF) el 10 de agosto de 2017 . Consultado el 17 de mayo de 2023 .
  47. ^ "M. van den Brink et al., Proc. SPIE 2726 (1996)" (PDF) . Archivado desde el original (PDF) el 9 de agosto de 2017 . Consultado el 17 de julio de 2018 .
  48. ^ Schmoeller, Thomas; Klimpel, T; Kim, yo; F. Lorusso, G; Myers, A; Jonckheere, Rik; Goethals, Anne-Marie; Ronse, K (14 de marzo de 2008). "Estrategias de compensación de cambio de patrón EUV - art. no. 69211B". Actas de SPIE . 6921 . doi :10.1117/12.772640. S2CID  121926142 - vía ResearchGate.
  49. ^ AN Broers, IEEE Trans. eléctrico. Desarrollo. 28, 1268 (1981).
  50. ^ Tao, Y.; et al. (2005). "Caracterización del perfil de densidad del plasma de Sn producido por láser para una fuente de ultravioleta extremo de 13,5 nm". Aplica. Física. Lett . 86 (20): 201501. Código bibliográfico : 2005ApPhL..86t1501T. doi :10.1063/1.1931825.
  51. ^ "Resumen 107 última página". www.nifs.ac.jp.
  52. ^ I. Fomenkov y otros, Adv. Optar. Tecnología. 6, 173 (2017).
  53. ^ IV Fomenkov, Proc. SPIE 10957, 1095719 (2019).
  54. ^ ab "Departamento de Física y Astronomía de la Universidad de Rutgers" (PDF) . www.física.rutgers.edu . Archivado desde el original (PDF) el 20 de diciembre de 2016 . Consultado el 14 de diciembre de 2016 .
  55. ^ abc "Estudio de desgasificación de metales en EIDEC" (PDF) .
  56. ^ R. Rokitski y col. , Proc. SPIE 7640, 76401Q (2010).
  57. ^ abcd M. van de Kerkhof et al., Proc. SPIE 10143, 101430D (2017).
  58. ^ ab Y. Chen et al., J.Vac. Ciencia. Tecnología. B35, 06G601 (2017).
  59. ^ abcde "H. Mizoguchi et al., Taller EUV-FEL 2017, p. 4" (PDF) .
  60. ^ Determinar la resolución de una resistencia EUV frente al rendimiento
  61. ^ I. Seshadri y otros, IEDM 2023.
  62. ^ "Evaluación de la producción de obleas EUV: 2019-2022". www.linkedin.com .
  63. ^ C. Smeets y otros, Proc. SPIE 12494, 1249406 (2023).
  64. ^ Paetzel, R.; et al. (2003). Yen, Anthony (ed.). "Láseres excimer para litografía NA superalta de 193 nm". Proc. ESPÍA . Microlitografía óptica XVI. 5040 : 1665. Código bibliográfico : 2003SPIE.5040.1665P. doi : 10.1117/12.485344. S2CID  18953813.
  65. ^ Harilal, SS; et al. (2006). "Control espectral de emisiones de objetivos dopados con estaño para litografía ultravioleta extrema". J. Física. D . 39 (3): 484–487. Código bibliográfico : 2006JPhD...39..484H. doi :10.1088/0022-3727/39/3/010. S2CID  34621555.
  66. ^ T. Asayama y col. , Proc. SPIE vol. 8683, 86831G (2013).
  67. ^ "Actualización de ASML de noviembre de 2013, Dublín" (PDF) .
  68. ^ L. Peters, "Carrera de cables de patrón doble para 32 nm", Semiconductor International , 18 de octubre de 2007.
  69. ^ M. Sugawara y otros. , J. Vac. Ciencia. Tecnología. B 21, 2701 (2003).
  70. ^ "¿Qué es el sombreado en la litografía EUV?" – a través de www.youtube.com.
  71. ^ abc Yunfei Deng; Bruno M. La Fontaine; Harry J. Levinson; Andrew R. Neureuther (2003). "Simulación EM rigurosa de la influencia de la estructura de los patrones de máscara en las imágenes EUVL". En Roxann L. Engelstad (ed.). Tecnologías litográficas emergentes VII . vol. 5037. doi : 10.1117/12.484986. S2CID  137035695.
  72. ^ G. McIntyre y col. , Proc. SPIE vol. 7271, 72711C (2009).
  73. ^ T. Último y col. , Proc. SPIE 9985, 99850W (2016).
  74. ^ ab T. Último y col. , Proc. SPIE vol. 10143, 1014311 (2017).
  75. ^ W. Gao y otros. , Proc. SPIE vol. 10143, 101430I (2017).
  76. ^ "Polarización por reflexión en sistemas de litografía EUV" - a través de www.youtube.com.
  77. ^ "La creciente importancia de la polarización en la litografía EUV". www.linkedin.com .
  78. ^ "Los cambios de fase inciertos de las máscaras EUV".
  79. ^ "Cambios de patrón inducidos por máscaras EUV iluminadas por dipolos". www.linkedin.com .
  80. ^ M. Burkhardt y otros, Proc. SPIE 10957, 1095710 (2019).
  81. ^ A. Erdmann, P. Evanschitzky, T. Fuhrer, Proc. SPIE 7271, 72711E (2009).
  82. ^ "Modelo de doble difracción de máscaras EUV" - a través de www.youtube.com.
  83. ^ "Doble difracción en máscaras EUV: ver a través de la ilusión de simetría". www.linkedin.com .
  84. ^ "Requisitos de planitud de la máscara EUV" (PDF) . Archivado desde el original (PDF) el 26 de junio de 2015 . Consultado el 26 de junio de 2015 .
  85. ^ T. Schmoeller y col. , Proc. SPIE vol. 6921, 69211B (2008).
  86. ^ P. Liu y otros. , Proc. SPIE vol. 8679, 86790W (2013).
  87. ^ ab M. Sugawara y col. , Proc. SPIE 9048, 90480V (2014).
  88. ^ ab X. Chen y col. , Proc. SPIE 10143, 101431F (2017).
  89. ^ "ASML: Productos - TWINSCAN NXE:3400B". asml.com . Archivado desde el original el 15 de diciembre de 2018 . Consultado el 2 de julio de 2017 .
  90. ^ ab X. Liu y col. , Proc. SPIE vol. 9048, 90480Q (2014).
  91. ^ O. Wood y otros, Proc. SPIE 10450, 1045008 (2017).
  92. ^ S. Yoshitake et al., Requisitos de planitud de la máscara EUV: perspectiva del proveedor del redactor de máscaras de haz electrónico.
  93. ^ J.-H. Franke y col., Proc. SPIE 11147, 111470E (2019).
  94. ^ "Desplazamiento de imagen inducido por desenfoque en litografía EUV" - a través de www.youtube.com.
  95. ^ A. Shchegrov y otros, Proc. SPIE 11325, 113251P (2020).
  96. ^ JH. Franke et al., J. Micro/Nanopatterning, Materials, and Metrology 21, 030501 (2022).
  97. ^ "Múltiples exposiciones monopolares: ¿la forma correcta de controlar las aberraciones en la litografía EUV?". www.linkedin.com .
  98. ^ HN Chapman y KA Nugent, Proc. SPIE 3767, 225 (1999).
  99. ^ H. Komatsuda, Proc. SPIE 3997, 765 (2000).
  100. ^ Q. Mei y otros, Proc. SPIE 8679, 867923 (2013).
  101. ^ D. Hellweg y otros, Proc. SPIE 7969, 79690H (2011).
  102. ^ K. Hooker y otros, Proc. SPIE 10446, 1044604 (2017).
  103. ^ A. Garetto y otros, J. Micro/Nanolith. MEMS MOEMS 13, 043006 (2014).
  104. ^ ab TS. Eom y col., Proc. SPIE 8679, 86791J (2013).
  105. ^ R. Capelli y otros, Proc. SPIE 10957, 109570X (2019).
  106. ^ ab J. Fu y col., Proc. SPIE 11323, 113232H (2020).
  107. ^ Miyakawa, R.; Naulleau, P. (13 de mayo de 2019). "Preparándose para la próxima generación de litografía EUV en el Centro de Óptica de Rayos X". Noticias sobre radiación sincrotrón . 32 (4): 15-21. Código Bib : 2019SRNuevo..32...15M. doi :10.1080/08940886.2019.1634432. OSTI  1582044. S2CID  202145457 - a través de escholarship.org.
  108. ^ S. Koo y otros, Proc. SPIE 7969, 79691N (2011).
  109. ^ Solicitud de patente estadounidense 20070030948.
  110. ^ "MF Bal et al., Appl. Opt. 42, 2301 (2003)" (PDF) .
  111. ^ DM Williamson, Proc. SPIE 3482, 369 (1998).
  112. ^ ab "Carl Zeiss 2018" (PDF) .
  113. ^ ab M. Lim y otros, Proc. SPIE 10583, 105830X (2018).
  114. ^ AV Pret y otros, Proc. SPIE 10809, 108090A (2018).
  115. ^ L. van Look y otros, Proc. SPIE 10809, 108090M (2018)
  116. ^ derecha. Kim y col., Proc. SPIE 9776, 97761R (2016).
  117. ^ abc E. van Setten y otros, Proc. SPIE 9661, 96610G (2015).
  118. ^ TE Brist y GE Bailey, Proc. SPIE 5042, 153 (2003).
  119. ^ G. Zhang y otros, Proc. SPIE 5040, 45 (2003).
  120. ^ "Compensación entre resolución y tamaño de troquel debido a la rotación de pupilas EUV". www.linkedin.com .
  121. ^ ab "Sombreado de líneas horizontales, verticales e inclinadas a través de la rendija en sistemas de litografía EUV de baja NA y alta NA". www.linkedin.com .
  122. ^ K. Lee y otros, J. Microlith/Nanolith. MEMS MOEMS 18, 040501 (2019).
  123. ^ KA Goldberg y otros, Proc. SPIE 5900, 59000G (2005).
  124. ^ Y. Liu e Y. Li, opt. Ing. 55, 095108 (2016).
  125. ^ ab R., Saathof (1 de diciembre de 2018). Óptica adaptativa para contrarrestar las aberraciones térmicas: diseño de sistemas para litografía EUV con precisión sub-nm (tesis doctoral). Universidad Técnica de Delft. doi : 10.4233/uuid:1d71e3e8-88ce-4260-aeda-af0ee7675445 .
  126. ^ TS Jota y RA Chipman, Proc. SPIE 9776, 977617 (2016).
  127. ^ abc "Mentor Graphics Director detalla los desafíos para el control de colocación de bordes en 2020". nikonereview.com . Archivado desde el original el 1 de diciembre de 2018 . Consultado el 24 de octubre de 2017 .
  128. ^ M. Habets y otros, Proc. SPIE 9776, 97762D (2016).
  129. ^ M. Bayraktar et al., Optar. Exp. 22, 30623 (2014).
  130. ^ "Imágenes no ideales en sistemas de litografía EUV" - a través de www.youtube.com.
  131. ^ George, Simi A.; Nauleau, Patricio; Rekawa, Senajith; Gullikson, Eric; Kemp, Charles D. (23 de febrero de 2009). Schellenberg, Frank M; La Fontaine, Bruno M (eds.). "Caracterización de la exposición fuera de banda con la herramienta de exposición de microcampo SEMATECH Berkeley 0.3-NA". Revista de micro/nanolitografía, MEMS y MOEMS . Tecnologías litográficas alternativas. 7271 : 72710X. Código Bib : 2009SPIE.7271E..0XG. doi : 10.1117/12.814429. OSTI  960237. S2CID  55241073.
  132. ^ abcd "Medición y caracterización del rendimiento de la máscara EUV en NA alta | EECS en UC Berkeley". www2.eecs.berkeley.edu .
  133. ^ "Carl Zeiss SMT GMbH, Semicon Europa, 16 de noviembre de 2018" (PDF) . Archivado desde el original (PDF) el 19 de junio de 2020 . Consultado el 17 de mayo de 2023 .
  134. ^ ab "Reflectividad multicapa". henke.lbl.gov .
  135. ^ Y. Nakajima y otros, Proc. SPIE 7379, 73790P (2009).
  136. ^ ab N. Davydova y otros, Proc. SPIE 8166, 816624 (2011).
  137. ^ GJ Stagaman y otros, Proc. SPIE 2726, 146 (1996).
  138. ^ MF Ravet y otros, Proc. SPIE 5250, 99 (2004).
  139. ^ F. Scholze y otros, Proc. SPIE 6151, 615137 (2006).
  140. ^ Yakshin, AE; Kozhevnikov, IV; Zoethout, E.; Luis, E.; Bijkerk, F. (2010). "[PDF] Propiedades de espejos multicapa graduados en profundidad de banda ancha para sistemas ópticos EUV. | Semantic Scholar". Óptica Express . 18 (7): 6957–71. doi : 10.1364/OE.18.006957 . PMID  20389715. S2CID  16163302.
  141. ^ "Desenfoque cromático en litografía EUV". www.linkedin.com .
  142. ^ "Las muy diferentes longitudes de onda de la litografía EUV". www.linkedin.com .
  143. ^ M. Sugawara y otros, J. Micro/Nanolith. MEMS MOEMS 2, 27–33 (2003).
  144. ^ "OPG | PDF ya no está disponible". opg.optica.org .
  145. ^ CS Choi y col. , Proc. SPIE 9235, 92351R (2014).
  146. ^ Principios fundamentales de la litografía óptica Chris A. Mack, p. 37.
  147. ^ CA Mack, microlito. Mundo, 9–4, 25 (2000)
  148. ^ JS Petersen y otros, Proc. SPIE 3546, 288 (1998).
  149. ^ "Características ópticas y físicas de las máscaras de cambio de fase EUV" (PDF) . Archivado desde el original (PDF) el 5 de febrero de 2017 . Consultado el 5 de febrero de 2017 .
  150. ^ Pila delgada de máscaras de cambio de fase de semitono para litografía ultravioleta extrema Inhwan Lee, Sangsul Lee, Jae Uk Lee, Chang Young Jeong2, Sunyoung Koo, Changmoon Lim y Jinho Ahn
  151. ^ L. Yuan y otros, Proc. SPIE 8322, 832229 (2012).
  152. ^ ab E. van Setten et al., Internacional. Síntoma. sobre litografía EUV, 2014.
  153. ^ VM Blanco Carballo et al., Proc. SPIE 10143, 1014318 (2017).
  154. ^ E. van Setten y otros, Proc. SPIE 9231, 923108 (2014).
  155. ^ F. Jiang y otros. , Proc. SPIE vol. 9422, 94220U (2015).
  156. ^ abcd I. Mochi et al. , Proc. SPIE 9776, 97761S (2015).
  157. ^ JG Garofalo y otros, Proc. SPIE 2440, 302 (1995).
  158. ^ "Comprensión del ruido de disparo EUV".
  159. ^ D. Civay y otros, Proc. SPIE 9048, 90483D (2014).
  160. ^ "Impresión estocástica de funciones de asistencia de subresolución". www.linkedin.com .
  161. ^ SÍ. Je et al. , Proc. SPIE 7823, 78230Z (2010).
  162. ^ T. Huynh-Bao y col. , Proc. SPIE 9781, 978102 (2016).
  163. ^ V. Philipsen y otros, Proc. SPIE 9235, 92350J (2014).
  164. ^ ab W. Gillijns y otros, Proc. SPIE 10143, 1014314 (2017).
  165. ^ ab YG Wang y otros, Proc. SPIE 10143, 1014320 (2017).
  166. ^ Patente estadounidense 9715170.
  167. ^ S. Nagahara y otros, Proc. SPIE 7640, 76401H (2010).
  168. ^ L. Pang y otros, Proc. SPIE 7520, 75200X (2009).
  169. ^ Hsu, Stephen D.; Liu, Jingjing (1 de enero de 2017). "Desafíos de la litografía anamórfica de alta NA y la fabricación de máscaras". Tecnologías ópticas avanzadas . 6 (3–4): 293. Bibcode : 2017AdOT....6..293H. doi :10.1515/aot-2017-0024. S2CID  67056068.
  170. ^ Zhang, Zinan; Li, Sikun; Wang, Xiangzhao; Cheng, Wei; Qi, Yuejing (2021). "Optimización de máscara de origen para litografía ultravioleta extrema basada en un modelo de máscara gruesa y un algoritmo de optimización de enjambre de partículas de aprendizaje social". Óptica Express . 29 (4): 5448–5465. Código Bib : 2021OExpr..29.5448Z. doi : 10.1364/OE.418242 . PMID  33726081. S2CID  232263498.
  171. ^ "Taller IMEC EUVL 2018" (PDF) .
  172. ^ C. Krautschik y otros, Proc. SPIE 4343, 392 (2001).
  173. ^ A. Erdmann, P. Evanschitzky y T. Fuhner, Proc. SPIE 7271, 72711E (2009).
  174. ^ A. Erdmann y otros, J. Micro/Nanolith. MEMS MOEMS 15, 021205 (2016).
  175. ^ M. Burkhardt y A. Raghunathan, Proc. SPIE 9422, 94220X (2015).
  176. ^ Z. Zhu y otros, Proc. SPIE 5037, 494 (2003)
  177. ^ V. Philipsen y otros, Proc. SPIE 10143, 1014310 (2017).
  178. ^ Naulleau, Patrick P.; Rammeloo, Clemens; Caín, Jason P.; Decano, Kim; Denham, Pablo; Goldberg, Kenneth A.; Hoef, Brian; La Fontaine, Bruno; Pawloski, Adam R.; Larson, Carl; Wallraff, Greg (2006). Lercel, Michael J (ed.). "Investigación de los límites de resolución actuales de las resistencias ultravioleta extremas avanzadas (EUV)". Tecnologías litográficas emergentes X. 6151 : 289. Código bibliográfico : 2006SPIE.6151..289N. CiteSeerX 10.1.1.215.7131 . doi :10.1117/12.657005. S2CID  97250792. 
  179. ^ A. Erdmann y otros, J. Micro/Nanolith. MEMS MOEMS 15(2), 021205 (2016).
  180. ^ "La necesidad de un bajo llenado de pupila en la litografía EUV". www.linkedin.com .
  181. ^ Chen, Fred (11 de junio de 2023). "La necesidad de un bajo llenado de pupila en la litografía EUV".
  182. ^ J. Finders y otros, Proc. SPIE 9776, 97761P (2016).
  183. ^ D. Rio y otros, Proc. SPIE 10809, 108090N (2018).
  184. ^ CH. Chang y col., Proc. SPIE 5377, 902 (2004).
  185. ^ T. Devoivre y otros, MTDT 2002.
  186. ^ LC Choo y otros, Proc. SPIE vol. 4000, 1193 (2000).
  187. ^ J. Word y K. Sakajiri, Proc. SPIE 6156, 61561I (2006).
  188. ^ T. Winkler y otros, prod. SPIE 5754, 1169 (2004).
  189. ^ Y. Borodovsky y otros, Proc. SPIE 4754, 1 (2002).
  190. ^ SS. Yu y col., Proc. SPIE 8679, 86791L (2013).
  191. ^ ab A. Erdmann y otros, Proc. SPIE 10583, 1058312 (2018).
  192. ^ "Máscaras de cambio de fase para la mejora de NILS: ¿una desventaja para EUV?". www.linkedin.com .
  193. ^ "Análisis de modo propio de campos EM en máscaras EUV" (PDF) .
  194. ^ "Máscara de cambio de fase grabada EUV de eficiencia ultraalta" (PDF) .
  195. ^ Naulleau, P., Anderson, CN, Baclea-an, LM, Chan, D., Denham, P., George, S., Goldberg, KA, Hoef, B., Jones, G., Koh, C. y La Fontaine, B., 2010, marzo. El SEMATECH Berkeley MET impulsa el desarrollo EUV más allá del medio paso de 22 nm. En Litografía ultravioleta extrema (EUV) (Vol. 7636, págs. 530-538). ESPÍA.
  196. ^ ". Torok et al., "Electrones secundarios en litografía EUV", J. Photopol. Sci. and Tech., 26, 625 (2013)".
  197. ^ K. Ishii y T. Matsuda, Japón. J. Aplica. Física. 29, 2212 (1990).
  198. ^ A. Thete y otros, Proc. SPIE 9422, 94220A (2015).
  199. ^ "B. Tesis de Sun, p. 34" (PDF) .
  200. ^ "S. Bhattarai, Estudio de la rugosidad de los bordes de las líneas y las interacciones de electrones secundarios en fotorresistentes para litografía EUV, 2017, p. 100" (PDF) . Archivado desde el original (PDF) el 21 de octubre de 2017 . Consultado el 16 de septiembre de 2018 .
  201. ^ "O. Kostko, J. Ma y P. Naulleau (LBNL)," Medición del desenfoque de electrones ", Taller EUVL 2019" (PDF) .
  202. ^ "Nuevas áreas problemáticas de EUV". 19 de marzo de 2018.
  203. ^ "Resistir el desarrollo para EUV de alto NA - Lea más en SemiWiki". 25 de febrero de 2024.
  204. ^ "Taller Internacional CNSE 2013 sobre Litografía EUV" (PDF) .
  205. ^ ab N. Felix y otros, Proc. SPIE 9776, 97761O (2015).
  206. ^ Límite de resolución de la dispersión de fotoelectrones EUV
  207. ^ J. Torok y col. , J. Fotopolímero Sci. & Tech., 27, 611 (2014).
  208. ^ "Los electrones de baja energía establecen los límites de la litografía EUV". www.linkedin.com .
  209. ^ ab Y. Kandel y col. , Proc. SPIE 10143, 101430B (2017).
  210. ^ abc A. Narasimhan y otros, Proc. SPIE 9422, 942208 (2015).
  211. ^ DD Simone y col., Proc. SPIE 10143, 101430R (2017).
  212. ^ "Impacto del desenfoque en el desenfoque electrónico en la litografía EUV" - a través de www.youtube.com.
  213. ^ "Demostración de la propagación de fotoelectrones impulsada por dosis en resistencias EUV". www.linkedin.com .
  214. ^ M. Kotera et al., "Simulación de litografía ultravioleta extrema mediante el seguimiento de trayectorias de fotoelectrones en resistencia", Jpn. J. Aplica. Física. 47, 4944 (2008).
  215. ^ ab "La función de dispersión de electrones en la litografía EUV". www.linkedin.com .
  216. ^ Extensión estocástica de fotoelectrones EUV para paso de 40 nm
  217. ^ ab "Agregar generación aleatoria de electrones secundarios al ruido de disparo de fotones: agravar la rugosidad del borde estocástico EUV". www.linkedin.com .
  218. ^ MIJacobs et al., Phys. Química. Química. Física. 19(20) (2017).
  219. ^ "Pares de puntos para medir el desenfoque de electrones secundarios en EUV y resistencias a rayos E". www.linkedin.com .
  220. ^ "Aleatoriedad del desenfoque de electrones secundarios como origen de los defectos estocásticos EUV". www.linkedin.com .
  221. ^ Defectos estocásticos EUV por desenfoque de electrones secundarios que aumentan con la dosis
  222. ^ P. Theofanis y otros, Proc. SPIE 11323, 113230I (2020).
  223. ^ Predicción de la defectos estocástica a partir del modelo de dispersión de electrones resistentes a EUV de Intel
  224. ^ "Impacto del desenfoque electrónico en películas resistentes a EUV a partir de la reflexión de la interfaz". www.linkedin.com .
  225. ^ O. Yu y otros, J. Elec. Especificaciones. y Rel. Fenómeno. 241, 146824 (2020).
  226. ^ ab P. De Schepper y otros, Proc. SPIE 9425, 942507 (2015).
  227. ^ El desenfoque de electrones secundarios aumenta con la dosis
  228. ^ A. Narasimhan et al., "Mecanismos de exposición a EUV: excitación interna y desenfoque de electrones", Simposio EUV 16/06/2016, p.11.
  229. ^ A. Raghunathan y JG Hartley, JVST B 31, 011605 (2013).
  230. ^ "El valle estocástico de la muerte de EUV". www.linkedin.com .
  231. ^ N. Miyahara y otros, Proc. SPIE 12498, 124981E (2023)
  232. ^ El desenfoque agrava las imágenes estocásticas EUV
  233. ^ abc A. Thete et al., Phys. Rev. Lett. 266803 (2017).
  234. ^ L. Wisehart y otros, Proc. SPIE 9776, 97762O (2016).
  235. ^ "Campo eléctrico, láminas planas de carga". hiperfísica.phy-astr.gsu.edu .
  236. ^ M. Dapor, M. Ciappa y W. Fichtner, J. Micro/Nanolith. MEMS MOEMS 9, 023001 (2010).
  237. ^ ab ZG Song y otros, J. Phys. D: Aplica. Física. 30, 1561 (1997).
  238. ^ J. Hollenshead y L. Klebanoff, J. Vac. Ciencia. Y tecnología. B 24, págs. 118-130 (2006).
  239. ^ G. Denbeaux y col. , Conferencia Europea de Máscaras y Litografía 2007.
  240. ^ I. Pollentier y col. , Proc. SPIE vol. 7972, 797208 (2011).
  241. ^ G. Denbeaux, 2009 Internacional. Taller de Litografía EUV.
  242. ^ JY Park y col. , J. Vac. Ciencia. Tecnología. B29, 041602 (2011).
  243. ^ ABCDE Crijns, VMC (2014). "Limpieza de estaño a base de átomos de hidrógeno" (PDF) . Universidad Tecnológica de Eindhoven .
  244. ^ ab T. Van de Ven et al., J. Appl. Física. 123, 063301 (2018).
  245. ^ Modelado informático de contaminación y limpieza de fuentes ópticas EUV RnD-ISAN/EUV Labs & ISTEQ BV
  246. ^ por ejemplo, la desnitruración de nitruros bajo hidrógeno
  247. ^ "CG van de Walle y B. Tuttle, TEORÍA DE LAS INTERACCIONES DEL HIDRÓGENO CON EL SILICIO AMORFO en películas delgadas de silicio amorfo y heterogéneo: fundamentos de los dispositivos, editado por HM Branz, RW Collins, H. Okamoto, S. Guha y B. Schropp , Actas del simposio de MRS, vol. 557 (MRS, Pittsburgh, Pensilvania, 1999), pág .
  248. ^ T. Tanabe, Y. Yamanishi y S. Imoto, J. Nucl. Estera. 191–194, 439 (1992).
  249. ^ ab "Ampollas de hidrógeno en multicapas EUV" - a través de www.youtube.com.
  250. ^ ab "DT Elg et al., J. Vac. Sci. Tech. A 34, 021305 (2016)" (PDF) .
  251. ^ "Ampollas inducidas por hidrógeno en capas múltiples de película delgada" (PDF) .
  252. ^ Yo. Jang y col., Proc. SPIE 9256, 92560I (2014)
  253. ^ "Penetración de hidrógeno de Ru y Pd/Ru" (PDF) .
  254. ^ Pantisano, L; Schram, Tom; Li, Z; Lisoni, Judit; Pourtois, Geoffrey; De Gendt, Stefan; P. Brunco, D; Akheyar, A; Afanas'ev, VV; Shamuilia, Sherón; Stesmans, A (12 de junio de 2006). "Electrodos de compuerta de rutenio en SiO2 y HfO2: sensibilidad a ambientes de hidrógeno y oxígeno". Letras de Física Aplicada . 88 (24): 243514. Código bibliográfico : 2006ApPhL..88x3514P. doi :10.1063/1.2212288 - vía ResearchGate.
  255. ^ "Penetración de hidrógeno del carburo de boro".
  256. ^ M. Mayer, M. Balden y R. Behrisch, J. Nucl. Estera. 252, 55 (1998).
  257. ^ ab SS. Kim y col., Proc. SPIE 10143, 1014306 (2017).
  258. ^ "Cribado de capas de recubrimiento resistentes a la oxidación" (PDF) .
  259. ^ B. Thedjoisworo y col. , J. Vac. Ciencia. Tecnología. A 30, 031303 (2012).
  260. ^ "Plasma de hidrógeno para extracción de fotoprotectores" (PDF) . Archivado desde el original (PDF) el 21 de marzo de 2020 . Consultado el 6 de enero de 2019 .
  261. ^ "El óxido metálico resiste la desgasificación" (PDF) .
  262. ^ Thedjoisworo, Bayu; Cheung, David; Crist, Vince (2013). "Comparación de los efectos de los plasmas posteriores a base de H2 y O2 en la eliminación de fotorresistente, silicio y nitruro de silicio". Revista de ciencia y tecnología del vacío B, Nanotecnología y microelectrónica: materiales, procesamiento, medición y fenómenos . 31 (2): 021206. Código bibliográfico : 2013JVSTB..31b1206T. doi : 10.1116/1.4792254 . ISSN  2166-2746.
  263. ^ J. Beckers y otros, Appl. Ciencia. 9.2827 (2019).
  264. ^ P. De Schepper y otros, J. Micro/Nanolith. MEMS MOEMS 13, 023006 (2014).
  265. ^ ab ES. Choe y col., Adv. Madre. Interfaces 2023, 2300867.
  266. ^ P. De Schepper y otros, Proc. SPIE 9428, 94280C (2015).
  267. ^ Las exposiciones no EUV en sistemas de litografía EUV proporcionan el terreno para defectos estocásticos en la litografía EUV
  268. ^ Un estudio del efecto de carga inducido por plasma de hidrógeno en sistemas de litografía EUV
  269. ^ "Ponerse al día con los requisitos de la hoja de ruta para la litografía UV extrema". espía.org .
  270. ^ "Métodos rápidos de simulación para defectos multicapa y de fase no plana en fotomáscaras DUV y EUV para litografía". berkeley.edu .
  271. ^ H. Yu y col. , J. Vac. Ciencia. Tecnología. A31, 021403 (2013).
  272. ^ S. Huh y col. , Proc. SPIE 7271 (2009).
  273. ^ K. Seki y col. , Proc. SPIE 9658, 96580G (2015).
  274. ^ A. Garetto y col. , J. Micro/Nanolito. MEMS MOEMS 13, 043006 (2014).
  275. ^ ab Y. Chen y col., Proc. SPIE 10143, 101431S (2017).
  276. ^ R. Jonckheere y LS Melvin III, Proc. SPIE 11517, 1151710 (2020).
  277. ^ M. Muller y otros, Appl. Física. Un vol. 108, 263 (2012).
  278. ^ "Actividades de EUVL en Corea del Sur (incluidos Samsung y SKHynix)" (PDF) .
  279. ^ ES. Kim y cols. , Proc. SPIE vol. 8322, 83222X (2012).
  280. ^ C. Zoldeski y col. , Proc. SPIE vol. 9048, 90481N (2014).
  281. ^ DL Goldfarb, boletín BACUS de diciembre de 2015.
  282. ^ "Continúan los problemas de resistencia, tiempo de actividad y película EUV". 26 de septiembre de 2018.
  283. ^ A. Gao y otros. , J. Appl. Física. 114, 044313 (2013).
  284. ^ E. Gallagher y col. , Proc. SPIE vol. 9635, 96350X (2015).
  285. ^ C. Ghica y col. , ROM. Rep. en Phys., vol. 62, 329–340 (2010).
  286. ^ L. Juan et al. , Mentón. Física. B, vol., 22, 105101 (2013).
  287. ^ I. Pollentier y col. , Proc. SPIE vol. 10143, 101430L (2017).
  288. ^ ES. Kim y col., Microel. Ing. 177, 35 (2017).
  289. ^ ab HJ Levinson y TA Brunner, Proc. SPIE 10809, 1080903 (2018).
  290. ^ "Actualización de fabricación de TSMC: N6 igualará la producción de N7 en EOY, N5 aumentará más rápido y obtendrá mejores rendimientos que N7".
  291. ^ "Samsung desarrollará 'Pellicle', un producto de proceso EUV esencial - ETNews". 13 de octubre de 2021.
  292. ^ ab P. De Bisschop, "Efectos estocásticos en la litografía EUV: variabilidad aleatoria del CD local y fallas de impresión", J. Micro/Nanolith. MEMS MOEMS 16(4), 041013 (2017).
  293. ^ Visualización de estocásticos EUV para un ejemplo de DRAM de 14 nm
  294. ^ abcde P. De Bisschop y E. Hendrickx, Proc. SPIE 10583, 105831K (2018).
  295. ^ ab Impacto de absorción de resistencia EUV en defectos estocásticos
  296. ^ Combinaciones de tono de CD desfavorecidas por el estocástico EUV
  297. ^ Variabilidad estocástica EUV en cortes de línea
  298. ^ Entropía de distribución de fotones en k1 alto
  299. ^ El impacto estocástico del desenfoque en la litografía EUV
  300. ^ El impacto estocástico del desenfoque en la litografía EUV
  301. ^ Fukuda, Hiroshi (23 de febrero de 2019). "Generación de electrones secundarios localizados y en cascada como causas de defectos estocásticos en litografía de proyección ultravioleta extrema". Revista de micro/nanolitografía, MEMS y MOEMS . 18 (1): 013503. Código bibliográfico : 2019JMM&M..18a3503F. doi : 10.1117/1.JMM.18.1.013503 .
  302. ^ Puntos calientes de defectos estocásticos en la litografía EUV
  303. ^ Funciones de asistencia de subresolución e impresión estocástica de lóbulos laterales EUV
  304. ^ Litografía de aplicación específica: mediante separación de 5 nm y más
  305. ^ ab ¿Pueden las dosis más altas de EUV ayudar a reducir los efectos estocásticos?
  306. ^ L. Meli y otros, J. Micro/Nanolith. MEMS MOEMS 18, 011006 (2019).
  307. ^ Visualizando el valle estocástico de la muerte de EUV
  308. ^ Defectividad estocástica frente a dosis EUV
  309. ^ Ventana de dosis EUV para paso de 48 nm
  310. ^ "S. Bhattarai, tesis doctoral", Estudio de la rugosidad de los bordes de las líneas y las interacciones de electrones secundarios en fotorresistentes para litografía EUV, "U. California Berkeley, 2017" (PDF) .
  311. ^ S. Larivière y otros, Proc. SPIE 10583, 105830U (2018).
  312. ^ J. Kim y otros, Proc. SPIE 11328, 113280I (2020).
  313. ^ "Del ruido de disparo a los defectos estocásticos: desenfoque gaussiano dependiente de la dosis en litografía". www.linkedin.com .
  314. ^ Modelado de defectos estocásticos EUV con desenfoque de electrones secundario
  315. ^ Medición del desenfoque de resistencia EUV local con aprendizaje automático
  316. ^ Una demostración del error de colocación del borde estocástico (EPE)
  317. ^ Orígenes estocásticos de la rugosidad del borde de la característica EUV
  318. ^ Comportamiento estocástico de los bordes de las formas en litografía EUV
  319. ^ Efectos estocásticos de la entropía de distribución de fotones en litografía EUV High-k1
  320. ^ Comportamiento estocástico de la función de dispersión de puntos en litografía EUV
  321. ^ Reducción de contraste frente a ruido de fotones en litografía EUV
  322. ^ El desvanecimiento de la imagen EUV contribuye al piso del defecto estocástico
  323. ^ Variación estocástica del área de contacto EUV
  324. ^ Ruido de disparo de fotones DUV frente a EUV
  325. ^ Revisando la litografía EUV: distribuciones estocásticas post-desenfoque
  326. ^ El desafío de trabajar con dosis EUV
  327. ^ abc HW Kim y otros, Proc. SPIE 7636, 76360Q (2010).
  328. ^ SM. Kim y col., Proc. SPIE 9422, 94220M (2015).
  329. ^ B. Baylav, "Reducción de la rugosidad del borde de la línea (LER) en litografía de campo grande similar a interferencias", tesis doctoral, p. 37, 2014.
  330. ^ ZY. Pan y col., Proc. SPIE 6924, 69241K (2008).
  331. ^ RL Bristol y ME Krysak, Proc. SPIE 10143, 101430Z (2017).
  332. ^ "2013 Nissan Chemical Industries, Taller internacional 2013 sobre litografía EUV" (PDF) .
  333. ^ TG Oyama y col. , Aplic. Física. Exp. 7, 036501 (2014).
  334. ^ T. Kozawa, Japón. J. Aplica. Física. 51, 06FC01 (2012).
  335. ^ ab Limitaciones de resolución, LER y sensibilidad del fotorresistente
  336. ^ "ABC fotorresistentes" (PDF) .
  337. ^ "ASML: Productos - TWINSCAN NXT:1980Di". www.asml.com . Archivado desde el original el 5 de diciembre de 2018 . Consultado el 21 de enero de 2017 .
  338. ^ ab "Fotorresistentes EUV" (PDF) .
  339. ^ ab "Taller ASML 2016 EUVL" (PDF) .
  340. ^ "Nuevas soluciones para resistir" (PDF) . Archivado desde el original (PDF) el 27 de agosto de 2017 . Consultado el 14 de julio de 2017 .
  341. ^ "Tira resistente al óxido metálico" (PDF) . [ enlace muerto permanente ]
  342. ^ ¿ Hacia dónde se dirigen las dosis de EUV?
  343. ^ Hoja de ruta de litografía IRDS 2022
  344. ^ Ruido y desenfoque del disparo de fotones EUV en tonos de 50 nm, 30 nm y 18 nm
  345. ^ ab Impacto del desenfoque electrónico en el haz de electrones y la litografía EUV
  346. ^ ab R. Socha, Proc. SPIE 11328, 113280V (2020).
  347. ^ B. Sell y otros, VLSI Tech. 2022]
  348. ^ YJ Choi et al., “Recubrimiento de eliminación de defectos estocásticos para litografía ultravioleta extrema de alto rendimiento”, J. Vac. Ciencia. Tecnología. B 40, 042602 (2022).
  349. ^ Y. Li, Q. Wu, Y. Zhao, “Un estudio de simulación para patrones de reglas de diseño típicos y fallas de impresión estocástica en un proceso lógico de 5 nm con litografía EUV”, CSTIC 2020.
  350. ^ Límite de exposición única EUV a partir de la densidad de defectos estocásticos
  351. ^ JH. Franke y col., Proc. SPIE 11517, 1151716 (2020).
  352. ^ La compensación de la apertura numérica EUV: profundidad de enfoque versus relleno de pupila
  353. ^ W. Gap y otros, Proc. SPIE 10583, 105830O (2018).
  354. ^ D. De Simone et al., Litografía avanzada 2019, 10957-21.
  355. ^ "Integración de celda DRAM de 18 nm de Samsung: QPT y dieléctricos de alta k de condensadores uniformados superiores". techinsights.com .
  356. ^ Roos, Gina (24 de mayo de 2018). "Los precios de las DRAM continúan aumentando mientras que las ASP NAND Flash bajan".
  357. ^ "SemiWiki.com: los 10 aspectos más destacados del foro del ecosistema de la plataforma de innovación abierta de TSMC". www.semiwiki.com . 7 de agosto de 2023.
  358. ^ "Desayuno DAC 2018 TSMC/Arm/Synopsys". www.synopsys.com . Archivado desde el original el 5 de octubre de 2018 . Consultado el 5 de octubre de 2018 .
  359. ^ "Cadence logra la certificación EDA para las tecnologías de procesos FinFET TSMC de 5 nm y 7 nm+ para facilitar la creación de diseños móviles y HPC" (Comunicado de prensa). Octubre de 2018.
  360. ^ "Plataformas de diseño personalizado y digital Synopsys certificadas en tecnología de proceso basada en EUV de 5 nm de TSMC". Diseño y reutilización .
  361. ^ "Desayuno DAC 2018 Samsung / Synopsys". www.synopsys.com . Archivado desde el original el 5 de octubre de 2018 . Consultado el 5 de octubre de 2018 .
  362. ^ Merritt, Rick. "TSMC lleva los fotones a la nube". EETimes .
  363. ^ "Presentación de Intel sobre litografía complementaria en el taller internacional de 2012 sobre litografía EUV" (PDF) .
  364. ^ abc "EUV nunca iba a ser un patrón único". 5 de febrero de 2017.
  365. ^ S. Hsu y otros, Proc. SPIE 4691, 476 (2002).
  366. ^ X. Liu y otros, Proc. SPIE 9048, 90480Q (2014).
  367. ^ SY. Oh y otros, Proc. SPIE 4691, 1537 (2002).
  368. ^ D. Rio y otros, Proc. SPIE 10809, 108090N (2018).
  369. ^ W. Gao y otros, Proc. SPIE 11323, 113231L (2020).
  370. ^ RK Ali y otros, Proc. SPIE 10583, 1058321 (2018).
  371. ^ P. Lin, Proc. SPIE 11327, 113270X (2020).
  372. ^ ab SALELE patrón doble para nodos de 7 nm y 5 nm
  373. ^ JT Neumann y col. , Proc. SPIE 8522, 852211 (2012).
  374. ^ Los chips Intel Xeon E5-2600 V4 cuentan con 7,2 mil millones de transistores increíbles en una matriz de 456 mm2,
  375. ^ Precauciones de abcd al utilizar EUV de alta NA
  376. ^ J. van Schoot y col. , Proc. SPIE 9422, 94221F (2015).
  377. ^ BJ Lin, JM3 1, 7-12 (2002).
  378. ^ ER Hosler y col. , Proc. SPIE vol. 9776, 977616 (2015).
  379. ^ ab BJ Lin, J. Microlith., Microfab., Microsyst. 1, 7-12 (2002).
  380. ^ BJ Lin, Microelec. Ing. 143, 91-101 (2015).
  381. ^ Idiosincrasias de imágenes EUV de alta NA obstruidas
  382. ^ B. Bilski y otros, Proc. SPIE 11177, 111770I (2019).
  383. ^ Riesgos y compensaciones del lóbulo lateral estocástico en sistemas EUV de alta NA con oscurecimiento de la pupila central
  384. ^ Tonos prohibidos por el oscurecimiento central en litografía EUV de alta NA
  385. ^ Incompatibilidades de tono inducidas por oscurecimiento en litografía EUV de alta NA
  386. ^ Paso de 36 nm en EUV de alta NA: difracción oscurecida a través de la rendija
  387. ^ Impresión de picos y valles de lóbulos laterales estocásticos en litografía EUV ALTA NA
  388. ^ Hoja de ruta de Intel
  389. ^ Limitaciones de diseño altas de NA EUV para nodos de 1 x nm
  390. ^ Verificaciones de la realidad para EUV de alta NA para nodos de 1.x nm
  391. ^ Imágenes estocásticas mejoradas en litografía EUV de alta NA
  392. ^ Cuando la NA alta no es mejor que la NA baja en litografía EUV
  393. ^ Desenfoque electrónico máximo permitido frente a tono alto NA EUV
  394. ^ LF Miguez et al., Proc. SPIE 12498, 124980E (2023).
  395. ^ R. Fallica y otros, Proc. SPIE 12498, 124980J (2023).
  396. ^ Por qué NA no es relevante para la resolución en litografía EUV
  397. ^ "Presentación de ASML en el Taller internacional de 2010 sobre fuentes ultravioleta extremas" (PDF) .
  398. ^ Mojarad, Nassir; Gobrecht, Jens; Ekinci, Yasin (18 de marzo de 2015). "Más allá de la litografía EUV: un estudio comparativo del rendimiento de fotorresistentes eficientes". Informes científicos . 5 (1): 9235. Código Bib : 2015NatSR...5E9235M. doi :10.1038/srep09235. PMC 4363827 . PMID  25783209. 

Otras lecturas

Enlaces relacionados