stringtranslate.com

Corrección óptica de proximidad

Una ilustración de OPC (Corrección de proximidad óptica). La forma azul similar a Γ es lo que a los diseñadores de chips les gustaría imprimir en una oblea, en verde es el patrón de una máscara después de aplicar la corrección de proximidad óptica y el contorno rojo es cómo la forma realmente se imprime en la oblea (bastante cerca de la forma deseada). objetivo azul).

La corrección de proximidad óptica ( OPC ) es una técnica de mejora de la fotolitografía comúnmente utilizada para compensar errores de imagen debidos a difracción o efectos de proceso. La necesidad de OPC se ve principalmente en la fabricación de dispositivos semiconductores y se debe a las limitaciones de la luz para mantener la integridad de la colocación de los bordes del diseño original, después del procesamiento, en la imagen grabada en la oblea de silicio. Estas imágenes proyectadas aparecen con irregularidades, como anchos de línea más estrechos o más anchos de lo diseñado, que se pueden compensar cambiando el patrón de la fotomáscara utilizada para la obtención de imágenes. Otras distorsiones, como las esquinas redondeadas, dependen de la resolución de la herramienta de imágenes ópticas y son más difíciles de compensar. Estas distorsiones, si no se corrigen, pueden alterar significativamente las propiedades eléctricas de lo que se estaba fabricando. La corrección de proximidad óptica corrige estos errores moviendo bordes o agregando polígonos adicionales al patrón escrito en la fotomáscara. Esto puede ser impulsado por tablas de búsqueda precalculadas basadas en el ancho y el espacio entre características (conocido como OPC basado en reglas) o mediante el uso de modelos compactos para simular dinámicamente el patrón final y, por lo tanto, impulsar el movimiento de los bordes, generalmente divididos en secciones. para encontrar la mejor solución (esto se conoce como OPC basado en modelos). El objetivo es reproducir lo mejor posible el diseño original dibujado por el diseñador en la oblea semiconductora.

Los dos beneficios más visibles de OPC son la corrección de las diferencias de ancho de línea observadas entre características en regiones de diferente densidad (por ejemplo, centro versus borde de una matriz, o líneas anidadas versus aisladas) y el acortamiento de los extremos de la línea (por ejemplo, superposición de puerta en el óxido de campo). ). Para el primer caso, esto se puede utilizar junto con tecnologías de mejora de la resolución , como barras de dispersión (líneas de subresolución colocadas adyacentes a líneas resolubles) junto con ajustes de ancho de línea. Para el último caso, se pueden generar características de "oreja de perro" (serif o cabeza de martillo) al final de la línea del diseño. OPC tiene un impacto en los costos en la fabricación de fotomáscaras , ya que el tiempo de escritura de la máscara está relacionado con la complejidad de la máscara y los archivos de datos y, de manera similar, la inspección de la máscara para detectar defectos lleva más tiempo ya que el control de bordes más fino requiere un tamaño de punto más pequeño.

Impacto de la resolución: el factor k 1

La resolución convencional limitada por difracción viene dada por el criterio de Rayleigh , donde está la apertura numérica y es la longitud de onda de la fuente de iluminación. A menudo es común comparar el ancho de la característica crítica con este valor, definiendo un parámetro, de modo que el ancho de la característica sea igual a las características anidadas con menos beneficio de OPC que las características aisladas del mismo tamaño. La razón es que el espectro de frecuencia espacial de las entidades anidadas contiene menos componentes que el de las entidades aisladas. A medida que disminuye el tono de la característica, la apertura numérica trunca más componentes, lo que resulta en una mayor dificultad para afectar el patrón de la manera deseada.

OPC aplicado al patrón de contacto. Debido a la modificación del borde en el diseño de la máscara (arriba), el contacto central en la columna derecha tiene un tamaño insuficiente en la imagen impresa en oblea (abajo).

Impacto de la iluminación y la coherencia espacial.

El grado de coherencia de la fuente de iluminación está determinado por la relación entre su extensión angular y la apertura numérica. Esta relación a menudo se denomina factor de coherencia parcial o . [1] También afecta la calidad del patrón y, por tanto, la aplicación de OPC. La distancia de coherencia en el plano de la imagen viene dada aproximadamente por [2]. Dos puntos de la imagen separados por más de esta distancia efectivamente no estarán correlacionados, lo que permitirá una aplicación OPC más simple. De hecho, esta distancia se acerca al criterio de Rayleigh para valores cercanos a 1.

Un punto relacionado es que el uso de OPC no cambia los requisitos de iluminación. Si se requiere iluminación fuera del eje, no se puede utilizar OPC para cambiar a iluminación en el eje, porque para la iluminación en el eje, la información de la imagen se dispersa fuera de la apertura final cuando se necesita iluminación fuera del eje, lo que impide cualquier imagen.

Impacto de las aberraciones

Las aberraciones en los sistemas de proyección óptica deforman los frentes de onda, o el espectro o la dispersión de los ángulos de iluminación, lo que puede afectar la profundidad de enfoque. Si bien el uso de OPC puede ofrecer beneficios significativos en cuanto a la profundidad de enfoque, las aberraciones pueden compensar con creces estos beneficios. [3] Una buena profundidad de enfoque requiere que la luz difractada viaje en ángulos comparables con el eje óptico, y esto requiere el ángulo de iluminación apropiado. [4] Suponiendo el ángulo de iluminación correcto, OPC puede dirigir más luz difractada a lo largo de los ángulos correctos para un tono determinado, pero sin el ángulo de iluminación correcto, tales ángulos ni siquiera surgirán.

Impacto de la exposición múltiple

A medida que el factor se ha ido reduciendo constantemente a lo largo de las últimas generaciones de tecnología, el requisito previsto de pasar a la exposición múltiple para generar patrones de circuitos se vuelve más real. Este enfoque afectará la aplicación de OPC, ya que será necesario tener en cuenta la suma de las intensidades de la imagen de cada exposición. Este es el caso de la técnica de fotomáscara complementaria , [5] donde se suman las imágenes de una máscara de cambio de fase de apertura alterna y una máscara binaria convencional.

Impacto del patrón de grabado múltiple

A diferencia de la exposición múltiple de la misma película fotorresistente , el modelado de múltiples capas implica recubrimiento, deposición y grabado repetidos del fotorresistente para modelar la misma capa del dispositivo. Esto brinda la oportunidad de utilizar reglas de diseño más flexibles para modelar la misma capa. Dependiendo de la herramienta de litografía utilizada para generar imágenes con estas reglas de diseño más flexibles, el OPC será diferente. Los patrones de grabado múltiple pueden convertirse en una técnica popular para las generaciones tecnológicas futuras. Una forma específica de patrón de grabado múltiple, que utiliza características de sacrificio de las paredes laterales, es actualmente la única forma demostrada de modelar sistemáticamente características de menos de 10 nm. [6] El medio paso mínimo corresponde al espesor depositado del elemento de sacrificio.

Aplicación OPC hoy

Hoy en día, OPC rara vez se practica sin el uso de paquetes comerciales de proveedores de automatización de diseño electrónico (EDA). Los avances en algoritmos, técnicas de modelado y el uso de grandes granjas de computación han permitido corregir las capas de patrones más críticas de la noche a la mañana, desde las reglas de diseño de 130 nm (cuando se utilizó por primera vez el OPC basado en modelos) [7] hasta el diseño más avanzado. normas. El número de capas que requieren OPC sofisticado ha aumentado con los nodos avanzados, ya que las capas que antes no eran críticas ahora requieren compensación.

El uso de OPC no se limita a las características básicas que se encuentran comúnmente hoy en día, sino que puede aplicarse a cualquier esquema de corrección de imagen deseado que pueda modelarse con precisión. Por ejemplo, la corrección del efecto de proximidad en la litografía por haz de electrones se incluye como una capacidad automatizada en las herramientas comerciales de litografía por haz de electrones. Dado que muchos procesos no litográficos presentan sus propios efectos de proximidad, por ejemplo, el pulido químico-mecánico o el grabado con plasma , estos efectos se pueden mezclar con el OPC original.

Funciones de asistencia de subresolución (SRAF)

Corrección óptica de proximidad de un circuito principal y sus funciones de asistencia.
Función de asistencia OPC. El uso de funciones de asistencia hace coincidir imágenes de características aisladas más cercanas a imágenes de características densas, pero las características de asistencia pueden imprimirse accidentalmente.
Efecto de desenfoque en SRAF. El desenfoque aún puede limitar los beneficios de las funciones de asistencia al permitir su impresión.

Las funciones de asistencia de subresolución (SRAF) son funciones que están separadas de las funciones específicas pero que ayudan en su impresión, aunque no se imprimen ellas mismas. La impresión de SRAF es un detractor crítico del rendimiento y requiere modelos OPC adicionales para determinar y eliminar los SRAF donde puede ocurrir una impresión no deseada. [8] Los SRAF tienen efectos más pronunciados en el espectro de difracción que el cambio de tamaño y/o los archivos adjuntos de características específicas. El requisito de no imprimir limita su uso únicamente con dosis bajas. Esto podría plantear problemas con efectos estocásticos. [9] [ ¿ fuente poco confiable? ] Por lo tanto, su aplicación principal es mejorar la profundidad de enfoque para características aisladas (las características densas no dejan suficiente espacio para la colocación de SRAF). Dado que los SRAF redistribuyen la energía hacia frecuencias espaciales u órdenes de difracción más altos, la profundidad de enfoque depende más del ángulo de iluminación (centro del espectro de frecuencias espaciales u órdenes de difracción), así como del tono (separación de frecuencias espaciales u órdenes de difracción). En particular, diferentes SRAF (posición, forma, tamaño) podrían dar como resultado diferentes especificaciones de iluminación. [10] [11] De hecho, ciertos lanzamientos prohíben el uso de SRAF para ángulos de iluminación específicos. [12] Dado que el tono suele estar predeterminado, se deben evitar algunos ángulos de iluminación incluso con el uso de SRAF OPC. Sin embargo, en general, los SRAF no pueden ser una solución completa y sólo pueden acercarse al caso denso, no igualarlo. [13]

Ver también

Referencias

  1. ^ Ronse, K. (1994). "Principios fundamentales de las máscaras de desplazamiento de fase por óptica de Fourier: teoría y verificación experimental". Revista de ciencia y tecnología del vacío B: microelectrónica y estructuras nanométricas . 12 (2). Sociedad Estadounidense del Vacío: 589–600. doi : 10.1116/1.587395. ISSN  0734-211X.
  2. ^ Saleh, Bahá; Teich, MC (1991). Fundamentos de la fotónica . Nueva York: Wiley. págs. 364–365. ISBN 978-0-471-83965-1. OCLC  22511619.
  3. ^ Kroyan, Armen; Levenson, David; Tittel, Frank K. (29 de junio de 1998). Van den Hove, Luc (ed.). "Hacer frente al impacto de las aberraciones de las lentes en el contexto de la ingeniería de frente de onda" . vol. 3334. ESPÍA. pag. 832. doi : 10.1117/12.310817. ISSN  0277-786X.
  4. ^ Levinson, Harry J. (2005). Principios de litografía (2ª ed.). Bellingham, lavado: SPIE. págs. 274-276. ISBN 978-0-8194-5660-1. OCLC  435971871.
  5. ^ Kling, Michael E.; Cueva, Nigel; Falch, Bradley J.; Fu, Chong-Cheng; Verde, Kent G.; et al. (26 de julio de 1999). Van den Hove, Luc (ed.). "Practicando la extensión de la litografía óptica DUV de 248 nm utilizando PSM con máscara de recorte" . vol. 3679. ESPÍA. págs. 10-17. doi : 10.1117/12.354297. ISSN  0277-786X.
  6. ^ Choi, Yang-Kyu; Zhu, Ji; Grunes, Jeff; Bokor, Jeffrey ; Somorjai, Gabor. R. (20 de marzo de 2003). "Fabricación de matrices de nanocables de silicio de menos de 10 nm mediante litografía de reducción de tamaño". La Revista de Química Física B. 107 (15). Sociedad Química Estadounidense (ACS): 3340–3343. doi : 10.1021/jp0222649. ISSN  1520-6106.
  7. ^ Stirniman, John P.; Rieger, Michael L. (17 de mayo de 1994). Brunner, Timothy A. (ed.). Rápida corrección de proximidad con muestreo de zona . vol. 2197. ESPÍA. págs. 294–301. doi :10.1117/12.175423. ISSN  0277-786X.
  8. ^ Kohli, Kriti K.; Jobes, Marcos; Graur, Ioana (17 de marzo de 2017). Erdmann, Andreas; Kye, Jongwook (eds.). Detección y clasificación automatizadas de funciones de asistencia de subresolución de impresión mediante algoritmos de aprendizaje automático . vol. 10147. ESPÍA. pag. 101470O. doi :10.1117/12.2261417. ISSN  0277-786X.
  9. ^ Impresión estocástica de funciones de asistencia de subresolución
  10. ^ Pang, Linyong; Hu, Pedro; Peng, Danping; Chen, Dongxue; Cecil, Tom; et al. (3 de diciembre de 2009). Chen, Alek C.; Han, Woo-Sung; Lin, quemar J.; Yen, Anthony (eds.). Optimización de máscara de fuente (SMO) a escala completa de chip utilizando tecnología de litografía inversa (ILT) basada en métodos de conjunto de niveles . vol. 7520. ESPÍA. pag. 75200X. doi : 10.1117/12.843578. ISSN  0277-786X.
  11. ^ Nagahara, Seiji; Yoshimochi, Kazuyuki; Yamazaki, Hiroshi; Takeda, Kazuhiro; Uchiyama, Takayuki; et al. (11 de marzo de 2010). Dusa, Mircea V.; Conley, Will (eds.). "SMO para dispositivos lógicos de 28 nm y más: impacto de la complejidad de la fuente y la máscara en el rendimiento de la litografía" . vol. 7640. ESPÍA. pag. 76401H. doi : 10.1117/12.846473. ISSN  0277-786X.
  12. ^ Shi, Xuelong; Hsu, Stephen; Chen, J. Fung; Hsu, Chungwei Michael; Socha, Robert J.; Dusa, Mircea V. (1 de julio de 2002). Señor, Daniel JC (ed.). Comprender el fenómeno del tono prohibido y ayudar a la colocación de funciones . vol. 4689. ESPÍA. pag. 985. doi : 10.1117/12.473427. ISSN  0277-786X.
  13. ^ Mochi, Iacopo; Philipsen, Vicky; Gallagher, Emily; Hendrickx, Eric; Lyakhova, Kateryna; et al. (2016-03-18). Toma panorámica, Eric M.; Goldberg, Kenneth A. (eds.). Funciones de asistencia: ubicación, impacto y relevancia para las imágenes EUV . vol. 9776. ESPÍA. pag. 97761S. doi :10.1117/12.2220025. ISSN  0277-786X.

enlaces externos