stringtranslate.com

Alfa 21464

El Alpha 21464 es un microprocesador inacabado que implementa la arquitectura del conjunto de instrucciones Alpha (ISA) desarrollada por Digital Equipment Corporation y posteriormente por Compaq después de adquirir Digital. El microprocesador también era conocido como EV8 (nombre en código Araña ). Programado para su lanzamiento en 2004, se canceló el 25 de junio de 2001 cuando Compaq anunció que Alpha se eliminaría gradualmente en favor de Itanium en 2004. Cuando se canceló, el Alpha 21464 se encontraba en una etapa tardía de desarrollo pero no había sido grabado. . [1] [2]

Los orígenes del 21464 comenzaron a mediados de la década de 1990, cuando el científico informático Joel Emer se inspiró en la investigación del Dean Tullsen sobre subprocesos múltiples simultáneos (SMT) en la Universidad de Washington . Emer había investigado la tecnología a finales de los años 1990 y comenzó a promoverla una vez que estuvo convencido de su valor. Compaq hizo el anuncio de que el próximo microprocesador Alpha usaría SMT en octubre de 1999 en el Microprocessor Forum 1999. [3] En ese momento, se esperaba que los sistemas que usaran Alpha 21464 se enviaran en 2003. [3]

Descripción

El microprocesador era un diseño superescalar de ocho componentes con ejecución fuera de orden , SMT de cuatro vías y una canalización profunda . Obtiene 16 instrucciones de una caché de instrucciones asociativas de conjuntos bidireccionales de 64 KB . Luego, el predictor de rama seleccionó las instrucciones "buenas" y las ingresó en un búfer colapsable. (Esto permitió un ancho de banda de recuperación de hasta 16 instrucciones por ciclo, dependiendo de la densidad de rama tomada). El front-end tenía significativamente más etapas que la implementación Alpha anterior y, como resultado, el 21464 tenía una penalización mínima significativa por error de predicción de rama de 14 ciclos. [1] El microprocesador utilizó un algoritmo avanzado de predicción de ramificaciones para minimizar estas costosas penalizaciones.

La implementación de SMT requirió la replicación de ciertos recursos como el contador de programas . En lugar de un contador de programa, había cuatro contadores de programa, uno para cada hilo. Sin embargo, era necesario ampliar muy poca lógica después de que el front-end fuera compatible con SMT. El archivo de registro contenía 512 entradas, pero su tamaño estaba determinado por el número máximo de instrucciones en vuelo, no por SMT. El acceso al archivo de registro requirió tres etapas de tubería debido al tamaño físico del circuito. Se podrían enviar hasta ocho instrucciones de cuatro subprocesos a ocho unidades de ejecución de números enteros y cuatro de punto flotante en cada ciclo. El 21464 tenía una caché de datos de 64 KB (Dcache), organizada en ocho bancos para admitir la doble portabilidad. Esto estaba respaldado por un caché secundario unificado (Scache) de conjunto asociativo de seis vías y 3 MB integrado .

La unidad de ejecución de números enteros hizo uso de una nueva estructura: la caché de registros. La caché de registros no estaba destinada a mitigar la latencia del archivo de registro de tres ticks (como han afirmado algunos informes), sino a reducir la complejidad de la gestión de omisión de operandos. El caché de registro contenía todos los resultados producidos por la ALU y las tuberías de carga durante los N ciclos anteriores. (N era algo así como 8.) La estructura de caché de registros era un reetiquetado arquitectónico de lo que los procesadores anteriores habían implementado como un mux distribuido.

La interfaz del sistema era similar a la del Alpha 21364 . Había controladores de memoria integrados que proporcionaban diez canales RDRAM . El multiprocesamiento fue facilitado por un enrutador que proporcionaba enlaces a otros 21464 y arquitectónicamente admitía el multiprocesamiento de 512 vías sin lógica adhesiva .

Debía implementarse en un proceso de semiconductor de óxido metálico complementario (CMOS) de 0,125 μm (a veces denominado 0,13 μm) con siete capas de interconexión de cobre , silicio sobre aislante parcialmente empobrecido (PD-SOI) y baja densidad. K dieléctrico . Se estimó que el número de transistores era de 250 millones y el tamaño de la matriz era de 420 mm 2 . [2] [4]

Tarántula

Tarantula era el nombre en clave de una extensión de la arquitectura Alpha bajo consideración y un derivado de Alpha 21464 que implementó la extensión antes mencionada. Se canceló mientras aún estaba en desarrollo, antes de que comenzara cualquier trabajo de implementación y antes de que se terminara el 21464. La extensión fue para proporcionar a Alpha una capacidad de procesamiento de vectores. Especificaba treinta y dos registros vectoriales de 64 por 128 bits (8192 bits o 1 KB), aproximadamente 50 instrucciones vectoriales y un número no especificado de instrucciones para mover datos hacia y desde los registros vectoriales. Otros candidatos de seguimiento del EV8 incluyeron un diseño multinúcleo con dos núcleos EV8 y una frecuencia operativa de 4,0 GHz. [ cita necesaria ]

Notas

  1. ^ ab Seznec et al. 2002
  2. ^ ab Preston y col. 2002
  3. ^ ab Diefendorff 1999
  4. ^ Emer 1999

Referencias

Otras lecturas