stringtranslate.com

PowerPC G4

PowerPC G4 es una denominación que Apple utilizaba anteriormente para describir una cuarta generación de microprocesadores PowerPC de 32 bits . Apple ha aplicado este nombre a varios modelos de procesadores (aunque estrechamente relacionados) de Freescale , una antigua parte de Motorola . El nombre propio de Motorola y Freescale para esta familia de procesadores es PowerPC 74xx .

Los ordenadores Macintosh, como los portátiles PowerBook G4 y iBook G4 y los ordenadores de sobremesa Power Mac G4 y Power Mac G4 Cube, tomaron su nombre del procesador. Los microprocesadores PowerPC G4 también se utilizaron en el eMac , los Xserve de primera generación , los Mac Mini de primera generación y el iMac G4 antes de la introducción del PowerPC 970 .

Apple eliminó por completo la serie G4 para los modelos de escritorio después de seleccionar el procesador PowerPC 970 de 64 bits producido por IBM como base para su serie PowerPC G5. El último modelo de escritorio que utilizó el G4 fue el Mac Mini. El último portátil que utilizó el G4 fue el iBook G4, que fue reemplazado por el MacBook basado en Intel. El PowerBook G4 fue reemplazado por el MacBook Pro basado en Intel .

Los microprocesadores PowerPC G4 también fueron populares en otros sistemas informáticos, como la serie de ordenadores AmigaOne y los Pegasos de Genesi . Además de los ordenadores de sobremesa, el PowerPC G4 fue popular en entornos integrados, como enrutadores, conmutadores de telecomunicaciones, procesamiento de imágenes, medios, aviónica y aplicaciones militares, donde se puede aprovechar al máximo la tecnología AltiVec y sus capacidades SMP .

PowerPC 7400

El PowerPC 7400 (cuyo nombre en código era "Max") debutó en agosto de 1999 y fue el primer procesador que llevó el nombre de "G4". El chip funciona a velocidades que van desde los 350 a los 500 MHz y contiene 10,5 millones de transistores, fabricados mediante el proceso HiPerMOS6 de 0,20 μm de Motorola. La matriz mide 83 mm2 y cuenta con interconexiones de cobre .

Motorola había prometido a Apple entregar piezas con una velocidad de hasta 500 MHz, pero los rendimientos resultaron demasiado bajos inicialmente. Esto obligó a Apple a retirar los modelos de 500 MHz anunciados del Power Mac G4 . La serie Power Mac se redujo abruptamente de velocidades de procesador de 400, 450 y 500 MHz a 350, 400 y 450 MHz mientras se solucionaban los problemas con el chip. El incidente generó una ruptura en la relación Apple-Motorola y, según se informa, provocó que Apple pidiera ayuda a IBM para aumentar el rendimiento de producción en la línea de la serie Motorola 7400. [1] El modelo de 500 MHz se reintrodujo el 16 de febrero de 2000.

Diseño

Gran parte del diseño del 7400 fue realizado por Motorola en estrecha colaboración con Apple e IBM . IBM, el tercer miembro de la alianza AIM , diseñó el chip junto con Motorola en su centro de diseño de Somerset, pero decidió no fabricarlo porque no veía la necesidad en ese momento de contar con la unidad de procesamiento vectorial. Finalmente, el diseño de la arquitectura G4 contenía una unidad de procesamiento vectorial de 128 bits denominada AltiVec por Motorola, mientras que en el marketing de Apple se hacía referencia a ella como "Velocity Engine".

El PowerPC 970 (G5) fue la primera CPU fabricada por IBM que implementó VMX/AltiVec , para lo cual IBM reutilizó el antiguo diseño 7400 que aún tenían del diseño que hicieron con Motorola en Somerset. La CPU Xenon de la Xbox 360 también cuenta con VMX, con extensiones propietarias agregadas hechas especialmente para Microsoft. POWER6 , presentado en 2007, es la primera CPU " de gran tamaño " de IBM que también implementa VMX.

Con la unidad AltiVec, el microprocesador 7400 puede realizar operaciones matemáticas de punto flotante de precisión simple de cuatro vías (32 bits), o operaciones matemáticas de 8 bits de 16 vías, 8 bits de 16 vías o operaciones matemáticas de números enteros de 32 bits de cuatro vías en un solo ciclo. Además, la unidad de procesamiento vectorial es superescalar y puede realizar dos operaciones vectoriales al mismo tiempo. En comparación con los microprocesadores x86 de Intel de la época, esta característica ofrecía un aumento sustancial del rendimiento a las aplicaciones diseñadas para aprovechar la unidad AltiVec. Algunos ejemplos son Adobe Photoshop, que utiliza la unidad AltiVec para una representación más rápida de efectos y transiciones, y la suite iLife de Apple , que aprovecha la unidad para importar y convertir archivos sobre la marcha.

Además, el 7400 tiene soporte mejorado para multiprocesamiento simétrico (SMP) gracias a un protocolo de coherencia de caché mejorado ( MERSI ) y una unidad de punto flotante (FPU) de 64 bits , derivada en parte de la serie 604. La serie 603 tenía una FPU de 32 bits, que necesitaba dos ciclos de reloj para lograr una aritmética de punto flotante de 64 bits .

La familia PowerPC G4 admite dos tecnologías de bus: el bus 60x, más antiguo , que comparte con las familias PowerPC 600 y PowerPC 7xx , y el bus MPX, notablemente más avanzado. Los dispositivos que utilizan el bus 60x pueden hacerse compatibles con procesadores 6xx o 7xx, lo que permite una amplia variedad de ofertas y una ruta de actualización clara y económica, manteniendo al mínimo los problemas de compatibilidad. Hay principalmente dos empresas que fabrican controladores de sistema para computadoras 7xx y 7xxx: Tundra con sus controladores Tsi1xx y Marvell con sus controladores Discovery.

PowerPC 7410

El PowerPC 7410 "Nitro" es una versión de bajo consumo del 7400, pero se fabricó a 180 nm en lugar de 200 nm. Al igual que el 7400, tiene 10,5 millones de transistores. Se presentó en el PowerBook G4 el 9 de enero de 2001.

El chip agregó la capacidad de usar toda o la mitad de su caché como memoria de alta velocidad, no almacenada en caché, asignada al espacio de dirección física del procesador según se desee. Esta característica fue utilizada por proveedores de sistemas integrados como Mercury Computer Systems .

PowerPC 7450

Un módulo de CPU Motorola PowerPC 7450 de 800 MHz (rápido) en un Power Mac G4
Diseño del PowerPC G4e

El PowerPC 7450 "Voyager"/"V'ger" fue el único rediseño importante del procesador G4. El chip de 33 millones de transistores amplió significativamente la línea de ejecución del 7400 (7 frente a 4 etapas como mínimo) para alcanzar velocidades de reloj más altas, mejoró el rendimiento de las instrucciones (3 + ramificaciones frente a 2 + ramificaciones por ciclo) para compensar la mayor latencia de las instrucciones, reemplazó una caché L2 externa (hasta 2 MB asociativa de conjuntos de 2 vías, ruta de datos de 64 bits) por una integrada (256 KB asociativa de conjuntos de 8 vías, ruta de datos de 256 bits), admitió una caché L3 externa (hasta 2 MB asociativa de conjuntos de 8 vías, ruta de datos de 64 bits) y presentó muchos otros avances arquitectónicos. La unidad AltiVec se mejoró con el 7450; En lugar de ejecutar una instrucción de permutación de vector y una instrucción de ALU (simple int, complex int, float) de vector por ciclo como el 7400/7410, el 7450 y sus seguidores Motorola/Freescale pueden ejecutar dos instrucciones de vector arbitrarias simultáneamente (permutación, simple int, complex int, float). Fue presentado con el Power Mac G4 de 733 MHz el 9 de enero de 2001. Motorola lo siguió con un lanzamiento provisional, el 7451, con nombre en código "Apollo 6", al igual que el 7455. Los primeros ordenadores AmigaOne XE se entregaron con el procesador 7451.

Las mejoras al diseño del 745x le dieron los apodos G4e o G4+, pero estas nunca fueron designaciones oficiales.

PowerPC 7445 y 7455

Un prototipo de muestra 7445 EVT de 1,25 GHz (aún más rápido) en un modelo iMac G4 DVT

El PowerPC 7455 "Apollo 6" se presentó en enero de 2002. Venía con una ruta de caché en chip más amplia de 256 bits y se fabricó con el proceso HiPerMOS de 0,18 μm (180 nm) de Motorola con interconexiones de cobre y SOI . Fue el primer procesador de un ordenador Apple en superar la marca de 1 GHz. El 7445 es el mismo chip sin la interfaz de caché L3. El 7455 se utiliza en el AmigaOne XE G4 y en el Power Mac G4 dual de 1 GHz (Quicksilver 2002).

PowerPC 7447 y 7457

El PowerPC 7447 "Apollo 7" es ligeramente mejor que el 7450/55, tiene una caché L2 en chip de 512 KB y se fabricó en un proceso de 130 nm con SOI, por lo que consume menos energía. Tiene 58 millones de transistores. Con el 7447A, que introdujo un diodo térmico integrado, así como DFS ( escalado de frecuencia dinámico ), Freescale pudo alcanzar un reloj ligeramente más alto. [ aclaración necesaria ] El 7447B es efectivamente un 7447A con un escalado de frecuencia aún mayor, con velocidades de reloj de hasta 1,7 GHz oficialmente y fácilmente hasta 2,4 GHz mediante overclocking . [2] [3] Los modelos 7457 y 7457A tienen una interfaz de caché L3 adicional, que admite hasta 4 MB de caché L3, en comparación con los 2 MB admitidos por los modelos 7455 y 7450. Sin embargo, su escala de frecuencia se estancó cuando Apple decidió utilizar el(los) 7447(s) en lugar del(los) 7457(s), a pesar de que el 7457 es el sucesor con caché L3 habilitado del 7455 con caché L3 habilitado que Apple utilizó antes.

Las únicas empresas que ofrecen el 7457 en forma de actualizaciones para el Power Mac G4 , iMac G4 y Power Mac G4 Cube son Giga Designs, Sonnet Technology, Daystar Technology (que utiliza el 7457 sólo para actualizaciones del iMac G4) y PowerLogix. La plataforma informática Pegasos de Genesi también utiliza el 7447 en su Pegasos-II/G4.

El 7457 se usa a menudo para reparar un módulo de CPU AmigaOne XE; [4] [5] [6] [7] algunos programas de AmigaOS con el 7457 instalado pueden confundir el AmigaOne con una computadora Pegasos II, ya que nunca hubo placas 7457 oficiales lanzadas por Eyetech .

PowerPC 7448

El PowerPC 7448 "Apollo 8" es una evolución del PowerPC 7447B anunciado en el primer Freescale Technology Forum en junio de 2005. Las mejoras incluían una caché L2 más grande de 1 MB, un bus frontal de 200 MHz más rápido y un menor consumo de energía (18 W a 1,7 GHz). Se fabricó con un proceso de 90 nm con interconexiones de cobre y SOI.

Los usuarios de PowerPC 7448 fueron:

e600

En 2004, Freescale renombró el núcleo G4 como e600 y cambió su enfoque de CPUs generales a dispositivos SoC integrados de alta gama , e introdujo un nuevo esquema de nombres, MPC86xx. El 7448 iba a ser el último G4 puro y formó la base del nuevo núcleo e600 con un pipeline de siete etapas y tres problemas, y una potente unidad de predicción de bifurcaciones que maneja hasta dieciséis instrucciones fuera de orden. Tiene una unidad AltiVec mejorada capaz de ejecución fuera de orden limitada y una caché L2 de 1 MB.

Lista de dispositivos

Esta lista es una lista completa de los diseños basados ​​en G4 conocidos (excluidos los diseños más nuevos de Core E600). Las imágenes son ilustraciones y no están a escala.

Véase también

Referencias

  1. ^ "Motorola e IBM revelan planes para PowerPC".
  2. ^ BOLETÍN DE PRODUCTOS FREESCALE 11161
  3. ^ Informe de calificación del microprocesador MC7447A/B/C MOS-13 HiP7SOI 41 – L25S / 42 – L25S / 53 – L25S / 55 – L25S / 58 – L25S
  4. ^ "IntuitionBase - Su guía para AmigaOS4.x y AmigaOne". www.intuitionbase.com .
  5. ^ "AmigaOne XE, ¿el manual indica un vCore incorrecto? [Foros - AmigaOS4] - El sitio web de Amigans". www.amigans.net .
  6. ^ "Nuevo sitio web corporativo de ACube Systems" (Nota de prensa). Bassano del Grappa, Italia: ACube. 24 de febrero de 2007. Consultado el 12 de junio de 2014 .
  7. ^ "ACube Systems: Página web de la empresa". Amiga-News.de. 25 de febrero de 2007. Consultado el 11 de junio de 2014 .
  8. ^ "Instalación y configuración de Network Processing Engine y Network Services Engine - Descripción general de NPE-G2 [enrutadores Cisco serie 7200]". Cisco .
  9. ^ "Enrutador Cisco 7201". Cisco .
  10. ^ "XPedite6244 | Módulo AMC NXP MPC7448".
  11. ^ "SBC CompactPCI C104 PowerPC® MPC7448 | Soluciones COTS robustas de Aitech". www.rugged.com . Archivado desde el original el 31 de julio de 2016.
  12. ^ http://static6.arrow.com/aropdfconversion/ad4aa5d5f0e38c4028118cabe7ce2d17a41e7530/pmppc7448%20ds.pdf [ URL básica PDF ]