stringtranslate.com

Proceso de 7 nm

En la fabricación de semiconductores , el proceso de "7 nm" es un término para el nodo de tecnología MOSFET que sigue al nodo de "10 nm" , definido por la Hoja de Ruta Internacional para Dispositivos y Sistemas (IRDS), que fue precedida por la Hoja de Ruta Internacional de Tecnología para Semiconductores (ITRS). Se basa en la tecnología FinFET (transistor de efecto de campo de aletas), un tipo de tecnología MOSFET de múltiples puertas .

A partir de 2021, el estándar de litografía IRDS proporciona una tabla de dimensiones para el nodo "7 nm", [1] con ejemplos que se indican a continuación:

El estándar de litografía IRDS 2021 es un documento que mira hacia atrás, ya que es la primera producción en volumen de un proceso de marca "7 nm", ya que Taiwan Semiconductor Manufacturing Company ( TSMC ) comenzó la producción de chips de memoria SRAM de 256 Mbit utilizando un proceso de "7 nm " llamado N7 en junio de 2016, [2] antes de que Samsung comenzara la producción en masa de sus dispositivos de proceso "7 nm" (7LPP) en 2018. [3] Estos nodos de proceso tenían la misma densidad de transistores aproximada que el nodo " 10 nm Enhanced Superfin " de Intel , posteriormente rebautizado como "Intel 7". [4]

Desde al menos 1997, la escala de longitud de un nodo de proceso no se ha referido a ninguna dimensión particular en los circuitos integrados, como la longitud de la compuerta, el paso del metal o el paso de la compuerta, ya que los nuevos procesos de litografía ya no reducían de manera uniforme todas las características de un chip. A fines de la década de 2010, la escala de longitud se había convertido en un nombre comercial [5] que indicaba una nueva generación de tecnologías de proceso, sin ninguna relación con las propiedades físicas. [6] [7] [8] Las normas ITRS e IRDS anteriores no tenían una guía suficiente sobre las convenciones de nomenclatura de los nodos de proceso para abordar las dimensiones ampliamente variables de un chip, lo que llevó a una divergencia entre la forma en que las fundiciones marcaban su litografía y las dimensiones reales que lograban sus nodos de proceso.

El primer procesador móvil de "7 nm" destinado al mercado masivo, el Apple A12 Bionic , se anunció en el evento de Apple de septiembre de 2018. [9] Aunque Huawei anunció su propio procesador de "7 nm" antes del Apple A12 Bionic, el Kirin 980 el 31 de agosto de 2018, el Apple A12 Bionic se lanzó para uso público y masivo para los consumidores antes del Kirin 980. Ambos chips fueron fabricados por TSMC. [10]

En 2019, [11] AMD lanzó sus procesadores " Rome " (EPYC 2) para servidores y centros de datos, que se basan en  el nodo N7 de TSMC [12] y cuentan con hasta 64 núcleos y 128 subprocesos. También lanzaron sus procesadores de escritorio para consumidores " Matisse " con hasta 16 núcleos y 32 subprocesos. Sin embargo, la matriz de E/S en el módulo multichip (MCM) Rome está fabricada con el proceso de 14 nm (14HP) de GlobalFoundries , mientras que la matriz de E/S de Matisse utiliza el proceso "12 nm" (12LP+) de GlobalFoundries . La serie Radeon RX 5000 también se basa en el proceso N7 de TSMC.

Historia

Demostraciones de tecnología

Los MOSFET de escala de 7 nm fueron demostrados por primera vez por investigadores a principios de la década de 2000. En 2002, un equipo de investigación de IBM que incluía a Bruce Doris, Omer Dokumaci, Meikei Ieong y Anda Mocuta fabricó un MOSFET de silicio sobre aislante (SOI) de 6 nm. [13] [14] En 2003, el equipo de investigación de NEC dirigido por Hitoshi Wakabayashi y Shigeharu Yamagami fabricó un MOSFET de 5 nm . [15] [16]

En julio de 2015, IBM anunció que había construido los primeros transistores funcionales con tecnología de "7 nm", utilizando un proceso de silicio-germanio . [17] [18] [19] [20]

En junio de 2016, TSMC había producido celdas de memoria SRAM de 256 Mbit con su proceso de "7 nm", [2] con un área de celda de 0,027 micrómetros cuadrados (550 F 2 ) [ ¿se escribe así? ] con rendimientos de producción de riesgo razonables. [21]

Comercialización y tecnologías esperadas

En 2015, Intel esperaba que en el nodo de 7 nm se tuvieran que utilizar semiconductores III-V en transistores, lo que indicaba un alejamiento del silicio. [22]

En abril de 2016, TSMC anunció que la producción de prueba de "7 nm" comenzaría en la primera mitad de 2017. [23] En abril de 2017, TSMC comenzó la producción de riesgo de chips de memoria SRAM de 256 Mbit utilizando un proceso de "7 nm" (N7FF+), [2] con litografía ultravioleta extrema (EUV). [24] Los planes de producción de "7 nm" de TSMC, a principios de 2017, [ necesita actualización ] eran utilizar litografía de inmersión ultravioleta profunda (DUV) inicialmente en este nodo de proceso (N7FF), y la transición de riesgo a fabricación comercial en volumen desde el segundo trimestre de 2017 al segundo trimestre de 2018. Además, su producción de "7 nm" (N7FF+) de última generación estaba planificada [ necesita actualización ] para utilizar patrones múltiples EUV y tener una transición estimada de riesgo a fabricación en volumen entre 2018 y 2019. [25]

En septiembre de 2016, GlobalFoundries anunció una producción de prueba en la segunda mitad de 2017 y una producción de riesgo a principios de 2018, con chips de prueba ya en funcionamiento. [26]

En febrero de 2017, Intel anunció Fab 42 en Chandler, Arizona , que según los comunicados de prensa de ese momento se esperaba [ necesita actualización ] que produjera microprocesadores utilizando un proceso de fabricación de "7 nm" (Intel 4 [27] ). [28] La compañía no había publicado, en ese momento, ningún valor esperado para las longitudes de las características en este nodo de proceso. [ necesita actualización ]

En abril de 2018, TSMC anunció la producción en serie de chips de "7 nm" (CLN7FF, N7). En junio de 2018, la empresa anunció el aumento de la producción en masa. [3]

En mayo de 2018, Samsung anunció la producción de chips de "7 nm" (7LPP) este año. ASML Holding NV es su principal proveedor de máquinas de litografía EUV. [29]

En agosto de 2018, GlobalFoundries anunció que detendría el desarrollo de chips de "7 nm", citando el costo. [30]

El 28 de octubre de 2018, Samsung anunció que su proceso de "7 nm" de segunda generación (7LPP) había entrado en producción de riesgo y en ese momento se esperaba que hubiera entrado en producción en masa en 2019. [ necesita actualización ]

El 17 de enero de 2019, durante la conferencia telefónica sobre los resultados del cuarto trimestre de 2018, TSMC mencionó que los distintos clientes tendrían "diferentes sabores" de la segunda generación de "7 nm". [31] [ Necesita actualización ]

El 16 de abril de 2019, TSMC anunció su proceso "6nm" llamado (CLN6FF, N6), que, según un comunicado de prensa realizado el 16 de abril de 2019, en ese momento se esperaba que estuviera en productos masivos a partir de 2021. [32] [ necesita actualización ] En ese momento, se esperaba que N6 hubiera usado EUVL en hasta 5 capas, en comparación con hasta 4 capas en su proceso N7+. [33]

El 28 de julio de 2019, TSMC anunció su proceso de "7 nm" de segunda generación llamado N7P, que se proyectaba que se basaría en DUV como su proceso N7. [34] Dado que N7P era totalmente compatible con IP con el "7 nm" original, mientras que N7+ (que usa EUV) no lo era, N7+ (anunciado anteriormente como "7 nm+") debía haber sido un proceso separado de "7 nm". N6 ("6 nm"), otro proceso basado en EUV, estaba planeado en ese momento para haber sido lanzado más tarde incluso que el proceso "5 nm" (N5) de TSMC, con la compatibilidad de IP con N7. En su llamada de ganancias del primer trimestre de 2019, TSMC reiteró su declaración del cuarto trimestre de 2018 [31] de que se esperaba que N7+ hubiera generado menos de mil millones de dólares taiwaneses en ingresos en 2019. [35] [ necesita actualización ]

El 5 de octubre de 2019, AMD anunció su hoja de ruta EPYC , que incluye chips Milan fabricados con el proceso N7+ de TSMC. [36] [ necesita actualización ]

El 7 de octubre de 2019, TSMC anunció que había comenzado a entregar productos N7+ al mercado en grandes volúmenes. [37] [ necesita actualización ]

El 26 de julio de 2021, Intel anunció su nueva hoja de ruta de fabricación, renombrando todos sus futuros nodos de proceso. [27 ] El proceso Enhanced SuperFin (10ESF) de "10 nm" de Intel, que era aproximadamente equivalente al proceso N7 de TSMC, se conocería a partir de entonces como "Intel 7", mientras que su proceso anterior de "7 nm" se llamaría "Intel 4". [27] [38] Como resultado, en ese momento se planeó que los primeros procesadores de Intel basados ​​en Intel 7 comenzaran a enviarse en la segunda mitad de 2022, [ necesita actualización ] mientras que Intel anunció anteriormente que planeaba lanzar procesadores de "7 nm" en 2023. [39] [ necesita actualización ]

Comercialización de tecnología

En junio de 2018, AMD anunció el lanzamiento de las GPU Radeon Instinct de 7 nm en la segunda mitad de 2018. [40] En agosto de 2018, la compañía confirmó el lanzamiento de las GPU. [41]

El 21 de agosto de 2018, Huawei anunció que su SoC HiSilicon Kirin 980 se utilizará en sus Huawei Mate 20 y Mate 20 Pro fabricados con el proceso de 7 nm (N7) de TSMC. [ necesita actualización ]

El 12 de septiembre de 2018, Apple anunció su chip A12 Bionic utilizado en el iPhone XS y el iPhone XR construidos con el proceso de 7 nm (N7) de TSMC. El procesador A12 se convirtió en el primer chip de 7 nm para uso en el mercado masivo, ya que se lanzó antes del Huawei Mate 20. [42] [43] El 30 de octubre de 2018, Apple anunció su chip A12X Bionic utilizado en el iPad Pro construido con el proceso de 7 nm (N7) de TSMC. [44]

El 4 de diciembre de 2018, Qualcomm anunció sus Snapdragon 855 y 8cx fabricados con el proceso de 7 nm (N7) de TSMC. [45] El primer producto masivo con el Snapdragon 855 fue el Lenovo Z5 Pro GT, que se anunció el 18 de diciembre de 2018. [46]

El 29 de mayo de 2019, MediaTek anunció su SoC 5G construido utilizando un proceso de 7 nm de TSMC. [47]

El 7 de julio de 2019, AMD lanzó oficialmente su serie de unidades centrales de procesamiento Ryzen 3000, basadas en el proceso de 7 nm de TSMC y la microarquitectura Zen 2 .

El 6 de agosto de 2019, Samsung anunció su SoC Exynos 9825, el primer chip construido con su proceso 7LPP. El Exynos 9825 es el primer chip para el mercado masivo construido con EUVL . [48]

El 6 de septiembre de 2019, Huawei anunció sus SoC HiSilicon Kirin 990 4G y 990 5G , construidos utilizando los procesos N7 y N7+ de TSMC. [49]

El 10 de septiembre de 2019, Apple anunció su chip A13 Bionic utilizado en el iPhone 11 y el iPhone 11 Pro fabricados con el proceso N7P de segunda generación de TSMC. [50]

La fabricación de 7 nm (nodos N7) representó el 36% de los ingresos de TSMC en el segundo trimestre de 2020. [51]

El 17 de agosto de 2020, IBM anunció su procesador Power10 . [50]

El 26 de julio de 2021, Intel anunció que sus procesadores Alder Lake se fabricarían utilizando su nuevo proceso "Intel 7", anteriormente conocido como "10nm Enhanced SuperFin". [27] En ese momento, se esperaba, según los comunicados de prensa, que estos procesadores se lanzaran en la segunda mitad de 2021. [ necesita actualización ] La compañía confirmó anteriormente una familia de microprocesadores de 7 nm, ahora llamada "Intel 4", [27] llamada Meteor Lake que se lanzaría en 2023. [52] [53] [ necesita actualización ]

Dificultades en la creación de patrones

Problemas de división de tonos. La creación sucesiva de patrones de litografía está sujeta a errores de superposición, así como a errores de CD de diferentes exposiciones.
Problemas con el patrón de espaciadores. El patrón de espaciadores tiene un excelente control de CD para las características que el espaciador modela directamente, pero los espacios entre espaciadores pueden dividirse en poblaciones de núcleo y de brecha.
El error de superposición afecta el corte de la línea. Un error de superposición en la exposición de un orificio de corte podría distorsionar los extremos de la línea (arriba) o afectar una línea adyacente (abajo).
Problemas con el patrón de EUV de dos barras. En la litografía EUV, es posible que un par de características no tengan ambas características enfocadas al mismo tiempo; una tendrá un tamaño diferente a la otra y ambas se desplazarán de manera diferente a través del enfoque.
Probabilidad de falla estocástica de EUV de 7 nm. Se esperaba que las características de "7 nm" se acercaran a un ancho de ~20 nm. La probabilidad de falla estocástica de EUV es considerablemente alta para la dosis comúnmente aplicada de 30 mJ/cm 2 .

Se espera que el nodo de fundición de "7 nm" utilice cualquiera de las siguientes tecnologías de modelado o una combinación de ellas: división de paso , modelado autoalineado y litografía EUV . Cada una de estas tecnologías conlleva desafíos significativos en el control de la dimensión crítica (CD) así como en la colocación de patrones, todo lo cual involucra características vecinas.

División de tono

La división de tonos implica dividir los elementos que están demasiado próximos entre sí en diferentes máscaras, que se exponen sucesivamente y luego se procesan mediante litografía. Debido al uso de diferentes exposiciones, siempre existe el riesgo de que se produzca un error de superposición entre las dos exposiciones, así como diferentes CD resultantes de las diferentes exposiciones.

Patrones espaciadores

El patrón de espaciadores implica depositar una capa sobre características pre-estampadas, luego grabar de nuevo para formar espaciadores en las paredes laterales de esas características, denominadas características centrales. Después de eliminar las características centrales, los espaciadores se utilizan como una máscara de grabado para definir zanjas en la capa subyacente. Si bien el control de CD del espaciador es generalmente excelente, el CD de la zanja puede caer en una de dos poblaciones, debido a las dos posibilidades de estar ubicado donde se encontraba una característica central o en el espacio restante. Esto se conoce como "caminata de cabeceo". [54] Generalmente, cabeceo = CD del núcleo + CD del espacio + 2 * CD del espaciador, pero esto no garantiza que el CD del núcleo = CD del espacio. Para las características FEOL como el aislamiento de la compuerta o del área activa (por ejemplo, aletas), el CD de la zanja no es tan crítico como el CD definido por el espaciador, en cuyo caso, el patrón de espaciadores es en realidad el enfoque de patrón preferido.

Cuando se utiliza el patrón cuádruple autoalineado (SAQP), se utiliza un segundo espaciador que reemplaza al primero. En este caso, el CD del núcleo se reemplaza por el CD del núcleo - 2* 2.º espaciador CD, y el CD de la brecha se reemplaza por el CD de la brecha - 2 * 2.º espaciador CD. Por lo tanto, algunas dimensiones de las características están estrictamente definidas por el CD del segundo espaciador, mientras que las dimensiones restantes de las características están definidas por el CD del núcleo, el paso del núcleo y el primer y segundo CD del espaciador. El CD del núcleo y el paso del núcleo se definen mediante litografía convencional, mientras que los CD del espaciador son independientes de la litografía. En realidad, se espera que esto tenga menos variación que la división de paso, donde una exposición adicional define su propio CD, tanto directamente como a través de una superposición.

Las líneas definidas por espaciadores también requieren cortes. Los puntos de corte pueden desplazarse durante la exposición, lo que da como resultado extremos de línea distorsionados o intrusiones en líneas adyacentes.

Se ha implementado el método de litografía-grabado-litografía-grabado autoalineado (SALELE) para el modelado BEOL de "7 nm". [55]

Litografía EUV

La litografía ultravioleta extrema (también conocida como EUV o EUVL ) es capaz de resolver características por debajo de los 20 nm en el estilo de litografía convencional. Sin embargo, la naturaleza reflectante 3D de la máscara EUV da como resultado nuevas anomalías en la imagen. Una molestia particular es el efecto de dos barras, donde un par de características idénticas en forma de barra no se enfocan de manera idéntica. Una característica está esencialmente en la "sombra" de la otra. En consecuencia, las dos características generalmente tienen diferentes CD que cambian a través del enfoque, y estas características también cambian de posición a través del enfoque. [56] [57] [58] Este efecto puede ser similar a lo que puede encontrarse con la división de tono. Un problema relacionado es la diferencia de mejor enfoque entre características de diferentes tonos. [59]

La EUV también tiene problemas para imprimir de manera confiable todas las características en una población grande; algunos contactos pueden faltar por completo o las líneas pueden estar puenteadas. Estos se conocen como fallas de impresión estocásticas. [60] [61] El nivel de defectos es del orden de 1K/mm 2 . [62]

La brecha entre puntas es difícil de controlar para EUV, en gran medida debido a la restricción de iluminación. [63] Se prefiere una o más exposiciones separadas para las líneas de corte.

Se han utilizado máscaras de cambio de fase atenuadas en la producción para el nodo de 90 nm para obtener ventanas de enfoque adecuadas para contactos con una inclinación arbitraria con la longitud de onda del láser ArF (193 nm), [64] [65] mientras que esta mejora de resolución no está disponible para EUV. [66] [67]

En la conferencia de litografía EUV de SPIE de 2021, un cliente de TSMC informó que el rendimiento de contacto EUV era comparable al rendimiento de multipatterning por inmersión. [68]

Comparación con nodos anteriores

Debido a estos desafíos, el proceso de "7 nm" plantea una dificultad de modelado sin precedentes en la parte final de la línea (BEOL). El nodo de fundición anterior, de gran volumen y larga duración (Samsung "10 nm", TSMC "16 nm") utilizaba la división de paso para las capas de metal de paso más estrecho. [69] [70] [71]

Tiempo de ciclo: inmersión vs. EUV

Debido a que las herramientas de inmersión son más rápidas en la actualidad, el patrón múltiple aún se utiliza en la mayoría de las capas. En las capas que requieren patrón cuádruple de inmersión, el rendimiento de finalización de capa por EUV es comparable. En las otras capas, la inmersión sería más productiva para completar la capa incluso con el patrón múltiple.

Gestión de reglas de diseño en producción en volumen

El patrón de metal de "7 nm" que practica actualmente TSMC implica líneas de patrón doble autoalineado (SADP) con cortes insertados dentro de una celda en una máscara separada según sea necesario para reducir la altura de la celda. [74] Sin embargo, el patrón cuádruple autoalineado (SAQP) se utiliza para formar la aleta, el factor más importante para el rendimiento. [75] Las comprobaciones de las reglas de diseño también permiten evitar el patrón múltiple y proporcionan suficientes espacios libres para los cortes de modo que solo se necesita una máscara de corte. [75]

Nodos de proceso y ofertas de procesos

La denominación de los nodos de proceso por parte de 4 fabricantes diferentes (TSMC, Samsung, SMIC , Intel) está parcialmente impulsada por el marketing y no está relacionada directamente con ninguna distancia medible en un chip; por ejemplo, el nodo "7nm" de TSMC era anteriormente similar en algunas dimensiones clave al nodo "10nm" de primera iteración planificado por Intel, antes de que Intel lanzara iteraciones posteriores, que culminaron en "10nm Enhanced SuperFin", que luego se renombró como "Intel 7" por razones de marketing. [76] [77]

Dado que la implementación de EUV en "7 nm" aún es limitada, el patrón múltiple aún juega un papel importante en el costo y el rendimiento; EUV agrega consideraciones adicionales. La resolución para la mayoría de las capas críticas aún está determinada por el patrón múltiple. Por ejemplo, para "7 nm" de Samsung, incluso con capas de 36 nm de paso de patrón único EUV, las capas de paso de 44 nm aún tendrían patrón cuádruple. [78]

El proceso 7LP (Leading Performance) de GlobalFoundries "7nm" habría ofrecido un rendimiento un 40% superior o un consumo de energía un 60% inferior con una escala de densidad de 2x y un coste por chip entre un 30 y un 45% inferior en comparación con su proceso de "14 nm". El paso de policontacto (CPP) habría sido de 56 nm y el paso de metal mínimo (MMP) habría sido de 40 nm, producidos con patrón doble autoalineado (SADP). Una celda SRAM de 6T habría tenido un tamaño de 0,269 micrones cuadrados. GlobalFoundries planeó utilizar con el tiempo la litografía EUV en un proceso mejorado llamado 7LP+. [100] GlobalFoundries detuvo más tarde todo el desarrollo de procesos de "7 nm" y superiores. [101]

El nuevo proceso "Intel 7" de Intel, anteriormente conocido como "10nm Enhanced SuperFin" (10ESF), se basa en su nodo "10nm" anterior. El nodo contará con un aumento del 10-15% en el rendimiento por vatio . Mientras tanto, se esperaba que su antiguo proceso "7nm", ahora llamado "Intel 4", se lanzara en ese momento en 2023. [102] [ necesita actualización ] Pocos detalles sobre el nodo "Intel 4" se habían hecho públicos en ese momento, aunque su densidad de transistores en ese momento se había estimado en al menos 202 millones de transistores por milímetro cuadrado. [27] [103] [ necesita actualización ] A partir de 2020, Intel había estado experimentando problemas con su proceso "Intel 4" hasta el punto de externalizar la producción de sus GPU Ponte Vecchio. [104] [105] [ necesita actualización ]

Referencias

  1. ^ "Hoja de ruta internacional para dispositivos y sistemas, actualización 2021: litografía" (PDF) . Hoja de ruta internacional para dispositivos y sistemas . 7 de abril de 2024.
  2. ^ abcde «Tecnología de 7 nm». TSMC . Consultado el 30 de junio de 2019 .
  3. ^ ab Chen, Monica; Shen, Jessie (22 de junio de 2018). "TSMC aumenta la producción de chips de 7 nm". DigiTimes . Consultado el 17 de septiembre de 2022 .
  4. ^ Subramaniam, Vaidyanathan (27 de julio de 2021). "Intel detalla nuevas innovaciones de procesos y nombres de nodos: Alder Lake 10 nm Enhanced SuperFin ahora es Intel 7; Intel 20A es el proceso de 2 nm para 2024". Notebook Check .
  5. ^ Morris, Kevin (23 de julio de 2020). "No más nanómetros: es hora de una nueva denominación de nodos". Revista de ingeniería electrónica . Consultado el 17 de septiembre de 2022 .
  6. ^ Shukla, Priyank. "Una breve historia de la evolución de los nodos de proceso". Design-Reuse . Consultado el 9 de julio de 2019 .
  7. ^ Hruska, Joel (23 de junio de 2014). "14 nm, 7 nm, 5 nm: ¿Hasta dónde puede llegar el CMOS? Depende de si le preguntas a los ingenieros o a los economistas...". ExtremeTech . Consultado el 17 de septiembre de 2022 .
  8. ^ Pirzada, Usman (16 de septiembre de 2016). "Exclusiva: ¿Intel realmente está empezando a perder su liderazgo en procesos? El nodo de 7 nm está programado para su lanzamiento en 2022". Wccftech . Consultado el 17 de septiembre de 2022 .
  9. ^ Shankland, Stephen (12 de septiembre de 2018). "La CPU A12 Bionic de Apple para el nuevo iPhone XS se adelanta a la industria que avanza hacia la tecnología de fabricación de chips de 7 nm". CNET . Consultado el 16 de septiembre de 2018 .
  10. ^ Summers, N. (12 de septiembre de 2018). «El A12 Bionic de Apple es el primer chip de 7 nanómetros para smartphones». Engadget . Consultado el 20 de septiembre de 2018 .
  11. ^ "AMD lanza Epyc Rome, la primera CPU de 7 nm". 8 de agosto de 2019. Archivado desde el original el 15 de agosto de 2019.
  12. ^ Smith, Ryan (26 de julio de 2018). "Las CPU AMD "Rome" EPYC serán fabricadas por TSMC". AnandTech . Consultado el 18 de junio de 2019 .
  13. ^ "IBM afirma tener el transistor de silicio más pequeño del mundo - TheINQUIRER". Theinquirer.net . 9 de diciembre de 2002. Archivado desde el original el 31 de mayo de 2011 . Consultado el 7 de diciembre de 2017 .
  14. ^ Doris, Bruce B.; Dokumaci, Omer H.; Ieong, Meikei K.; Mocuta, Anda; Zhang, Ying; Kanarsky, Thomas S.; Roy, RA (diciembre de 2002). "Escalamiento extremo con MOSFET de canal de silicio ultradelgado". Compendio. International Electron Devices Meeting . págs. 267–270. doi :10.1109/IEDM.2002.1175829. ISBN 0-7803-7462-2.S2CID10151651  .​
  15. ^ "NEC produce mediante pruebas el transistor más pequeño del mundo". The Free Library . Consultado el 7 de diciembre de 2017 .
  16. ^ Wakabayashi, Hitoshi; Yamagami, Shigeharu; Ikezawa, Nobuyuki; Ogura, Atsushi; Narihiro, Mitsuru; Arai, K.; Ochiai, Y.; Takeuchi, K.; Yamamoto, T.; Mogami, T. (diciembre de 2003). "Dispositivos CMOS planos a granel de menos de 10 nm que utilizan control de unión lateral". Reunión internacional de dispositivos electrónicos IEEE 2003 . págs. 20.7.1–20.7.3. doi :10.1109/IEDM.2003.1269446. ISBN 0-7803-7872-5. Número de identificación del sujeto  2100267.
  17. ^ Dignan, Larry. "IBM Research construye un procesador funcional de 7 nm". ZDNet .
  18. ^ Markoff, John (9 de julio de 2015). "IBM revela una versión funcional de un chip de mucha mayor capacidad". The New York Times .
  19. ^ "Más allá del silicio: IBM presenta el primer chip de 7 nm del mundo - Ars Technica". arstechnica.com . 9 de julio de 2015.
  20. ^ "Siete avances para chips que van más allá de los 7 nm". Blog de investigación de IBM . 27 de febrero de 2017.
  21. ^ Chang, J.; Chen, Y.; Chan, W.; Singh, SP; Cheng, H.; Fujiwara, H.; Lin, J.; Lin, K.; Hung, J.; Lee, R.; Liao, H. (febrero de 2017). "12.1 Una SRAM de 7 nm y 256 Mb en tecnología FinFET de compuerta metálica de alta k con circuitos de asistencia de escritura para aplicaciones de bajo VMIN". Conferencia internacional de circuitos de estado sólido (ISSCC) del IEEE de 2017. págs. 206–207. doi :10.1109/ISSCC.2017.7870333. ISBN . 978-1-5090-3758-2.S2CID 19930825  .
  22. ^ "Intel avanza hacia los 10 nm, pero se alejará del silicio en los 7 nm". 23 de febrero de 2015.
  23. ^ Parish, Kevin (20 de abril de 2016). "Cuidado con Intel y Samsung: TSMC se prepara para el procesamiento de 7 nm con producción de prueba". Tendencias digitales . Consultado el 17 de septiembre de 2022 .
  24. ^ "TSMC recomienda nodos de 7, 12 y 22 nm | EE Times". EETimes . Consultado el 17 de marzo de 2017 .
  25. ^ Shilov, Anton (5 de mayo de 2017). "Hojas de ruta de Samsung y TSMC: se agregaron 8 y 6 nm, y se está considerando 22ULP y 12FFC". AnandTech . Consultado el 17 de septiembre de 2022 .
  26. ^ "GLOBALFOUNDRIES ofrecerá la oferta líder en rendimiento de la industria de tecnología FinFET de 7 nm". GlobalFoundries (nota de prensa). 15 de septiembre de 2016. Consultado el 8 de abril de 2017 .
  27. ^ abcdefgh Cutress, Ian. "La hoja de ruta de Intel para el proceso de 2025: ¿con 4 nm, 3 nm, 20 A y 18 A?". www.anandtech.com . Consultado el 27 de julio de 2021 .
  28. ^ "Intel apoya la innovación estadounidense con una inversión de 7.000 millones de dólares en una fábrica de semiconductores de última generación en Arizona". Intel Newsroom . 8 de febrero de 2017 . Consultado el 17 de septiembre de 2022 .
  29. ^ King, Ian (22 de mayo de 2018). "Samsung dice que la producción de nuevos chips de 7 nanómetros comenzará este año". Bloomberg . Consultado el 17 de septiembre de 2022 .
  30. ^ Dent, Steve (28 de agosto de 2018). «Un importante proveedor de chips AMD ya no fabricará chips de próxima generación». Engadget . Consultado el 17 de septiembre de 2022 .
  31. ^ Transcripción de la conferencia sobre los resultados del cuarto trimestre de 2018 de TSMC, 17 de enero de 2019.
  32. ^ ab Schor, David (16 de abril de 2019). «TSMC anuncia un proceso de 6 nanómetros». WikiChip Fuse . Consultado el 31 de mayo de 2019 .
  33. ^ Shilov, Anton (1 de mayo de 2019). "TSMC: la mayoría de los clientes de 7 nm pasarán a 6 nm". AnandTech . Consultado el 31 de mayo de 2019 .
  34. ^ ab Schor, David (28 de julio de 2019). "TSMC habla de 7 nm, 5 nm, rendimiento y empaquetado de próxima generación para 5G y HPC". WikiChip Fuse . Consultado el 13 de septiembre de 2019 .
  35. ^ Transcripción de la llamada de ganancias del primer trimestre de 2019 de TSMC (18 de abril), CC Wei.
  36. ^ Alcorn, Paul (5 de octubre de 2019). "AMD habla sobre la arquitectura Zen 3 y Zen 4, la hoja de ruta de Milán y Génova". Tom's Hardware . Consultado el 8 de octubre de 2019 .
  37. ^ "La tecnología N7+ de TSMC es el primer proceso EUV que permite comercializar productos de clientes en grandes cantidades | Planet 3DNow!" (en alemán). 7 de octubre de 2019. Consultado el 8 de octubre de 2019 .
  38. ^ "Aceleración de la innovación en procesos" (PDF) . Intel . 26 de julio de 2021.
  39. ^ Jones, Ryan (27 de marzo de 2021). "Ctrl+Alt+Delete: por qué debería entusiasmarse con el procesador de 7 nm de Intel". Trusted Reviews . Consultado el 30 de marzo de 2021 .
  40. ^ "AMD muestra la próxima generación de productos Ryzen, Radeon y EPYC en Computex 2018, ampliando los límites de las CPU y las GPU" (Comunicado de prensa). 5 de junio de 2018.
  41. ^ Martin, Dylan (23 de agosto de 2018). "Director de Tecnología de AMD: 'Hemos apostado todo' por las CPU de 7 nm". CRN . Consultado el 17 de septiembre de 2022 .
  42. ^ "Apple anuncia el 'iPhone Xs' y el 'iPhone Xs Max' con color dorado, Face ID más rápido y más". 12 de septiembre de 2018.
  43. ^ Freedman, Andrew E. (12 de septiembre de 2018). "Apple presenta la CPU A12 Bionic de 7 nm para el iPhone XS". Tom's Hardware . Consultado el 12 de septiembre de 2018 .
  44. ^ Axon, Samuel (7 de noviembre de 2018). "Apple muestra a Ars el sistema A12X en un chip del iPad Pro". Ars Technica . Consultado el 18 de noviembre de 2018 .
  45. ^ Cutress, Ian (4 de diciembre de 2018). "Qualcomm Tech Summit, Day 1: Announcing 5G Partnerships and Snapdragon 855" (Cumbre tecnológica de Qualcomm, día 1: anuncio de asociaciones 5G y Snapdragon 855). AnandTech . Consultado el 31 de mayo de 2019 .
  46. ^ Frumusanu, Andrei (18 de diciembre de 2018). "Lenovo es el primero en lanzar un teléfono con Snapdragon 855 con el anuncio del Z5 Pro GT". AnandTech . Consultado el 31 de mayo de 2019 .
  47. ^ "MediaTek 5G". MediaTek . Consultado el 31 de mayo de 2019 .
  48. ^ Siddiqui, Aamir (7 de agosto de 2019). «Samsung anuncia Exynos 9825 antes del lanzamiento del Galaxy Note 10». XDA-Developers . Consultado el 13 de septiembre de 2019 .
  49. ^ Cutress, Ian. "Huawei anuncia Kirin 990 y Kirin 990 5G: enfoque de SoC dual, módem 5G integrado". AnandTech . Consultado el 13 de septiembre de 2019 .
  50. ^ ab «IBM revela el procesador IBM POWER10 de próxima generación». Sala de prensa de IBM . 17 de agosto de 2020 . Consultado el 17 de agosto de 2020 .
  51. ^ "TSMC traza un rumbo agresivo para la litografía de 3 nm y más allá - ExtremeTech".
  52. ^ "El CEO de Intel anuncia la estrategia 'IDM 2.0' para la fabricación y la innovación". Intel Newsroom . 23 de marzo de 2021 . Consultado el 17 de septiembre de 2022 .
  53. ^ "Intel Unleashed: Engineering the Future (Replay)". Sala de prensa de Intel . 23 de marzo de 2021. Consultado el 17 de septiembre de 2022 .
  54. ^ MJ Maslow y col., Proc. SPIE 10587, 1058704 (2018).
  55. ^ SALELE Doble patrón para nodos de 7 nm y 5 nm
  56. ^ "Taller IMEC EUVL 2018" (PDF) .
  57. ^ Y. Nakajima et al., Simposio EUVL 2007, Sapporo.
  58. ^ L. de Winter y otros, Proc. SPIE 9661, 96610A (2015).
  59. ^ M. Burkhardt y A. Raghunathan, Proc. SPIE 9422, 94220X (2015).
  60. ^ P. De Bisschop y E. Hendrickx, Proc. SPIE 10583, 105831K (2018).
  61. ^ "El valle estocástico de la muerte de EUV". linkedin.com .
  62. ^ S. Larivière y otros, Proc. SPIE 10583, 105830U (2018).
  63. ^ E. van Setten y otros, Proc. SPIE 9661. 96610G (2015).
  64. ^ CH. Chang y col., Proc. SPIE 5377, 902 (2004).
  65. ^ T. Devoivre y otros, MTDT 2002.
  66. ^ SS. Yu y otros, Proc. SPIE 8679, 86791L (2013).
  67. ^ A. Erdmann y col., Proc. SPIE 10583, 1058312 (2018).
  68. ^ Qi Li y otros, Proc. SPIE 11609, 116090V (2021).
  69. ^ Jeong, WC; Ahn, JH; Bang, YS; Yoon, YS; Choi, JY; Kim, YC; Paek, SW; Ahn, SW; Kim, BS; Song, TJ; Jung, JH; Do, JH; Lim, SM; Cho, H.-; Lee, JH; Kim, DW; Kang, SB; Ku, J.-; Kwon, SD; Jung, S.-; Yoon, JS (23 de junio de 2017). "Tecnología BEOL de 10 nm de segunda generación con iluminación optimizada y LELELELE". Simposio de 2017 sobre tecnología VLSI . págs. T144–T145. doi :10.23919/VLSIT.2017.7998156. ISBN . 978-4-86348-605-8. S2CID  43207918 – a través de IEEE Xplore.
  70. ^ "Simposio TSMC: "10 nm está listo para el inicio del diseño en este momento" - Perspectivas de la industria - Blogs de Cadence - Comunidad Cadence". community.cadence.com .
  71. ^ Wu, S.; Lin, CY; Chiang, MC; Liaw, JJ; Cheng, JY; Yang, SH; Liang, M.; Miyashita, T.; Tsai, CH; Hsu, BC; Chen, HY; Yamamoto, T.; Chang, SY; Chang, VS; Chang, CH; Chen, JH; Chen, HF; Ting, KC; Wu, YK; Pan, KH; Tsui, RF; Yao, CH; Chang, PR; Lien, HM; Lee, TL; Lee, HM; Chang, W.; Chang, T.; Chen, R.; Yeh, M.; Chen, CC; Chiu, YH; Chen, YH; Huang, HC; Lu, YC; Chang, CW; Tsai, MH; Liu, CC; Chen, KS; Kuo, CC; Lin, HT; Jang, SM; Ku, Y. (23 de diciembre de 2013). "Una tecnología CMOS FinFET de 16 nm para aplicaciones informáticas y SoC móviles". Reunión internacional de dispositivos electrónicos IEEE de 2013. págs. 9.1.1–9.1.4. doi :10.1109/IEDM.2013.6724591. ISBN 978-1-4799-2306-9– a través de IEEE Xplore.
  72. ^ "Productos y servicios - Abastecimiento a la industria de semiconductores". asml.com .
  73. ^ ab "Samsung aumenta el rendimiento de sus chips EUV de 7 nm". EETimes . 17 de octubre de 2018.
  74. ^ "Proceso de litografía de 7 nm - WikiChip".
  75. ^ ab "Un enfoque heurístico para corregir las violaciones de la verificación de reglas de diseño (DRC) en diseños ASIC con tecnología FinFET de 7 nm". Diseño y reutilización .
  76. ^ Merrit, Rick (16 de enero de 2017). "15 puntos de vista desde una cumbre de Silicon Summit". EETimes . Consultado el 16 de septiembre de 2022 .
  77. ^ Hill, Brandon (28 de marzo de 2017). «Intel detalla el nodo FinFET de 10 nm avanzado de Cannonlake y afirma que tiene una ventaja generacional completa sobre sus rivales». HotHardware . Archivado desde el original el 12 de junio de 2018 . Consultado el 30 de agosto de 2018 .
  78. ^ abc J. Kim y otros, Proc. SPIE 10962, 1096204 (2019).
  79. ^ "VLSI 2018: la segunda generación de 7 nm de Samsung, EUV, pasa a HVM". WikiChip . 4 de agosto de 2018 . Consultado el 16 de septiembre de 2022 .
  80. ^ "Samsung Electronics inicia la producción del proceso LPP de 7 nm basado en EUV". Samsung Newsroom . 18 de octubre de 2018 . Consultado el 16 de septiembre de 2022 .
  81. ^ "Samsung inicia la producción en masa en V1: una fábrica EUV dedicada a nodos de 7 nm, 6 nm, 5 nm, 4 nm y 3 nm".
  82. ^ IEDM2016
  83. ^ "TSMC lleva el fotón a la nube". EETimes . 4 de octubre de 2018.
  84. ^ Bonshor, Gavin (20 de octubre de 2022). "Revisión de Intel Core i9-13900K e i5-13600K: Raptor Lake ofrece más potencia". AnandTech . Consultado el 28 de septiembre de 2023 .
  85. ^ "¿Puede TSMC mantener su liderazgo en tecnología de procesos?". 18 de julio de 2023.
  86. ^ "El GAAFET de 3 nm de Samsung entra en producción de riesgo; analiza mejoras de próxima generación". 5 de julio de 2022.
  87. ^ ab Jones, Scotten (3 de mayo de 2019). «Comparación de 5 nm entre TSMC y Samsung». Semiwiki . Consultado el 30 de julio de 2019 .
  88. ^ "N3E reemplaza a N3; viene en muchas versiones". 4 de septiembre de 2022.
  89. ^ Jones, Scotten (18 de julio de 2023), ¿Puede TSMC mantener su liderazgo en tecnología de procesos?
  90. ^ "La hoja de ruta de procesos de Intel hasta 2025: ¿con 4 nm, 3 nm, 20 A y 18 A?".
  91. ^ Schor, David (19 de junio de 2022). "Una mirada a la tecnología de proceso Intel 4". WikiChip Fuse .
  92. ^ SMIC produce en masa nodos de 14 nm y avanza hacia los 5 nm y 7 nm, 16 de septiembre de 2022
  93. ^ "百度安全验证". wappass.baidu.com . Consultado el 6 de septiembre de 2023 .
  94. ^ ab "VLSI 2018: Samsung's 2nd Gen 7nm, EUV Goes HVM". WikiChip Fuse . 4 de agosto de 2018. Consultado el 31 de mayo de 2019 .
  95. ^ Smith, Ryan (13 de junio de 2022). "Nodo de proceso Intel 4 en detalle: escalado de densidad 2x, rendimiento mejorado en un 20 %". AnandTech . Consultado el 17 de septiembre de 2022 .
  96. ^ "Transcripción de la conferencia sobre los resultados del primer trimestre de 2018 de TSMC, pág. 12" (PDF) . Archivado desde el original (PDF) el 14 de octubre de 2018. Consultado el 14 de octubre de 2018 .
  97. ^ por WC Jeong et al., Tecnología VLSI 2017.
  98. ^ Dillinger, Tom (23 de marzo de 2017). "Las 10 principales novedades del simposio de tecnología de TSMC, parte II". SemiWiki . Consultado el 16 de septiembre de 2022 .
  99. ^ Paul Alcorn (21 de julio de 2022). "SMIC de China envía chips de 7 nm y, según se informa, copió la tecnología de TSMC". Tom's Hardware .
  100. ^ Jones, Scotten (8 de julio de 2017). «Exclusivo: GLOBALFOUNDRIES revela detalles del proceso de 7 nm». SemiWiki . Consultado el 16 de septiembre de 2022 .
  101. ^ Shilov, Anton; Cutress, Ian (27 de agosto de 2018). «GlobalFoundries detiene todo el desarrollo de 7 nm: opta por centrarse en procesos especializados». AnandTech . Consultado el 27 de julio de 2021 .
  102. ^ "Intel: Lo sentimos, pero nuestros chips de 7 nm se retrasarán hasta 2022 o 2023". PCMAG . Consultado el 27 de julio de 2021 .
  103. ^ "Proceso de litografía de 7 nm - WikiChip".
  104. ^ "El proceso de 7 nm de Intel lleva seis meses de retraso - Noticias".
  105. ^ "Mientras el cronograma de 7 nm continúa demorándose, Intel contempla fábricas de terceros". 24 de julio de 2020.

Enlaces externos