stringtranslate.com

Escala XS

XScale es una microarquitectura para unidades centrales de procesamiento diseñada inicialmente por Intel que implementa el conjunto de instrucciones de la arquitectura ARM (versión 5) . XScale comprende varias familias distintas: IXP, IXC, IOP, PXA y CE (ver más abajo), con algunos modelos posteriores diseñados como sistema en un chip (SoC). Intel vendió la familia PXA a Marvell Technology Group en junio de 2006. [1] Marvell luego extendió la marca para incluir procesadores con otras microarquitecturas , como Cortex de Arm .

La arquitectura XScale se basa en la ISA ARMv5TE sin las instrucciones de punto flotante . XScale utiliza una microarquitectura supercanalizada de siete etapas para procesadores enteros y ocho etapas para memoria . Es la sucesora de la línea de microprocesadores y microcontroladores Intel StrongARM , que Intel adquirió de la división Digital Semiconductor de DEC como parte de un acuerdo de una demanda entre las dos empresas. Intel utilizó StrongARM para reemplazar su línea de procesadores RISC obsoletos , los i860 y i960 .

Todas las generaciones de XScale son procesadores ARMv5TE de 32 bits fabricados con un proceso de 0,18 μm o 0,13 μm (como en las piezas IXP43x) y tienen una  caché de datos de 32 KB y una caché de instrucciones de 32 KB. Los procesadores multinúcleo XScale de primera y segunda generación también tienen una minicaché de datos de 2 KB (se afirma que "evita la 'descomposición' de la caché D para flujos de datos que cambian con frecuencia" [2] ). Los productos basados ​​en la tercera generación de XScale tienen una caché L2 unificada de hasta 512 KB. [3]

Familias de procesadores

El núcleo XScale se utiliza en varias familias de microcontroladores fabricados por Intel y Marvell:

También hay procesadores independientes: el 80200 y el 80219 (destinados principalmente a aplicaciones PCI ).

PXA

Los productos PXA System on a Chip (SoC) fueron diseñados en Austin, Texas. Los nombres en código de esta línea de productos son pequeñas ciudades de Texas, principalmente cerca de los lugares de caza de ciervos frecuentados por el equipo de marketing de núcleos Intel XScale y SoC para teléfonos móviles. Los productos PXA System on a Chip fueron populares en teléfonos inteligentes y PDA (con Windows Mobile , Symbian OS , Palm OS ) entre 2000 y 2006. [4]

PXA210/PXA25x

Intel PXA255

El PXA210 fue el XScale de nivel de entrada de Intel destinado a aplicaciones de telefonía móvil . Se lanzó junto con el PXA250 en febrero de 2002 y tiene frecuencias de reloj de 133 MHz y 200 MHz.

La familia PXA25x (nombre en código Cotulla ) consta de los procesadores PXA250 y PXA255. El PXA250 fue la primera generación de procesadores XScale de Intel. Había una opción de tres velocidades de reloj : 200  MHz , 300 MHz y 400 MHz. Salió al mercado en febrero de 2002. En marzo de 2003, la revisión C0 del PXA250 pasó a llamarse PXA255. Las principales diferencias eran una velocidad de bus interna duplicada (de 100 MHz a 200 MHz) para una transferencia de datos más rápida, un voltaje de núcleo más bajo (solo 1,3 V a 400 MHz) para un menor consumo de energía y una funcionalidad de escritura diferida para la caché de datos, cuya falta había afectado gravemente al rendimiento del PXA250.

Características del núcleo Intel XScale:

PXA26x

La familia PXA26x (cuyo nombre en código es Dalhart ) está formada por el PXA260 y los procesadores PXA261-PXA263. El PXA260 es un procesador independiente con la misma frecuencia de reloj que el PXA25x, pero tiene un encapsulado TPBGA que es aproximadamente un 53% más pequeño que el encapsulado PBGA del PXA25x. Los procesadores PXA261-PXA263 son iguales al PXA260, pero tienen memoria Intel StrataFlash apilada sobre el procesador en el mismo encapsulado; 16 MB de memoria de 16 bits en el PXA261, 32 MB de memoria de 16 bits en el PXA262 y 32 MB de memoria de 32 bits en el PXA263. La familia PXA26x se lanzó en marzo de 2003.

PXA27x

Intel PXA270 con 624 MHz

La familia PXA27x (cuyo nombre en código es Bulverde ) consta de los procesadores PXA270 y PXA271-PXA272. Esta revisión es una gran actualización de la familia de procesadores XScale. El PXA270 tiene cuatro velocidades de reloj diferentes: 312 MHz, 416 MHz, 520 MHz y 624 MHz y es un procesador independiente sin memoria encapsulada. El PXA271 puede tener una velocidad de reloj de 13, 104, 208 MHz o 416 MHz y tiene 32 MB de memoria StrataFlash apilada de 16 bits y 32 MB de SDRAM de 16 bits en el mismo paquete. El PXA272 puede tener una velocidad de reloj de 312 MHz, 416 MHz o 520 MHz y tiene 64 MB de memoria StrataFlash apilada de 32 bits.

Intel también agregó muchas tecnologías nuevas a la familia PXA27x como:

La familia PXA27x se lanzó en abril de 2004. Junto con la familia PXA27x, Intel lanzó el coprocesador de gráficos integrado 2700G (nombre en código Marathon).

PXA3xx

Módulo SODIMM Toradex Colibri XScale Monahans PXA290 (prototipo del módulo SODIMM Marvell PXA320)

En agosto de 2005, Intel anunció el sucesor de Bulverde , cuyo nombre en código era Monahans .

Lo demostraron mostrando su capacidad para reproducir vídeo codificado de alta definición en una pantalla PDA .

El nuevo procesador se mostró con una velocidad de reloj de 1,25 GHz, pero Intel dijo que solo ofrecía un aumento del 25% en el rendimiento (800  MIPS para el procesador PXA270 de 624 MHz frente a 1000 MIPS para el Monahans de 1,25 GHz ). Desde entonces, se ha cancelado un sucesor anunciado del procesador gráfico 2700G, cuyo nombre en código era Stanwood. Las características de SD de Stanwood están integradas en Monahans . Para obtener capacidades gráficas adicionales, Intel recomienda chips de terceros como la familia de chips Nvidia GoForce .

En noviembre de 2006, Marvell Semiconductor presentó oficialmente la familia Monahans como Marvell PXA320, PXA300 y PXA310. [9] Actualmente, el PXA320 se distribuye en grandes volúmenes y es escalable hasta 806 MHz. El PXA300 y el PXA310 ofrecen un rendimiento "escalable a 624 MHz" y son compatibles con el software del PXA320.

PXA800F

El Intel PXA800F, cuyo nombre en código era Manitoba, fue un SoC introducido por Intel en 2003 para su uso en teléfonos móviles con GSM y GPRS . El chip estaba construido alrededor de un núcleo de procesador XScale, similar al que se había utilizado en PDA, con una velocidad de reloj de 312 MHz y fabricado con un proceso de 0,13 μm, con 4 MB de memoria flash integrada y un procesador de señal digital . [10]

Se realizó una demostración de un prototipo de placa con el chip durante el Intel Developer Forum. [11] Intel señaló que estaba en conversaciones con los principales fabricantes de teléfonos móviles, como Nokia , Motorola , Samsung , Siemens y Sony Ericsson , sobre la incorporación de Manitoba en sus teléfonos. [12]

El O2 XM, lanzado en 2005, fue el único teléfono móvil con un uso documentado del chip Manitoba. [13] Un ejecutivo de Intel afirmó que la versión del chip utilizada en el teléfono fue rediseñada para que fuera menos costosa que la inicial. [14]

PXA90x

El PXA90x, cuyo nombre en código era Hermon , fue el sucesor del Manitoba con soporte 3G . El PXA90x está construido con un proceso de 130 nm. [15] Marvell siguió comercializándolo cuando adquirió el negocio XScale de Intel. [16] [17]

PXA16x

Módulo de sistema PXA168 de tianyeit.com

PXA16x es un procesador diseñado por Marvell que combina los componentes PXA SoC diseñados anteriormente por Intel con un nuevo núcleo de CPU ARMv5TE llamado Mohawk o PJ1 de la familia Sheeva de Marvell en lugar de utilizar el diseño Xscale o ARM de wdc. El núcleo de CPU se deriva del núcleo Feroceon utilizado en la línea de productos integrados Kirkwood de Marvell , pero se amplió para lograr compatibilidad a nivel de instrucción con XScale IWMMX.

El PXA16x ofrece un gran rendimiento a un precio de mercado masivo para consumidores sensibles a los costos y mercados integrados, como marcos de fotos digitales, lectores electrónicos, pantallas de interfaz de usuario (UI) de impresoras multifunción, teléfonos VoIP interactivos, cámaras de vigilancia IP y dispositivos de control del hogar. [18]

PXA930/935

Las series de procesadores PXA930 y PXA935 se construyeron nuevamente utilizando la microarquitectura Sheeva desarrollada por Marvell, pero actualizada para que sea compatible con el conjunto de instrucciones ARMv7. [19] Este núcleo es una arquitectura denominada Tri-core [20] con nombre en código Tavor; Tri-core significa que admite los conjuntos de instrucciones ARMv5TE, ARMv6 y ARMv7. [20] [21] Esta nueva arquitectura fue un salto significativo con respecto a la antigua arquitectura Xscale. El PXA930 utiliza tecnología de 65 nm [22] mientras que el PXA935 está construido utilizando el proceso de 45 nm. [21]

El PXA930 se utiliza en el BlackBerry Bold 9700 .

PXA940

Se sabe poco sobre el PXA940, aunque se sabe que es compatible con ARM Cortex-A8 . [23] Se utiliza en BlackBerry Torch 9800 [24] [25] y está construido utilizando tecnología de 45 nm.

PXA986/PXA988

Después de XScale y Sheeva, el PXA98x utiliza el tercer diseño de núcleo de CPU, esta vez licenciado directamente por ARM, en forma de procesadores de aplicaciones de doble núcleo Cortex A9 [26] utilizados por dispositivos como Samsung Galaxy Tab 3 7.0 . [27]

PXA1088

Es un procesador de aplicaciones Cortex A7 de cuatro núcleos con GPU Vivante . [28]

Código ICC

IXC1100

El procesador IXC1100 tiene velocidades de reloj de 266, 400 y 533 MHz, un bus de 133 MHz, 32 KB de caché de instrucciones, 32 KB de caché de datos y 2 KB de minicaché de datos. También está diseñado para un bajo consumo de energía, ya que utiliza 2,4 W a 533 MHz. El chip viene en un encapsulado PBGA de 35 mm.

PIO

La línea de procesadores IOP está diseñada para permitir que las computadoras y los dispositivos de almacenamiento transfieran datos y aumenten el rendimiento al descargar la funcionalidad de E/S de la CPU principal del dispositivo. Los procesadores IOP3XX se basan en la arquitectura XScale y están diseñados para reemplazar a la antigua familia de chips 80219 sd e i960. Actualmente hay diez procesadores IOP diferentes disponibles: IOP303, IOP310, IOP315, IOP321, IOP331, IOP332, IOP333, IOP341, IOP342 e IOP348. Las velocidades de reloj varían de 100 MHz a 1,2 GHz. Los procesadores también difieren en el tipo de bus PCI, la velocidad del bus PCI, el tipo de memoria, la memoria máxima permitida y la cantidad de núcleos de procesador.

Procesador de red IXP

SoC Intel XScale IXP425 en encapsulado cerámico

El núcleo XScale se utiliza en la segunda generación de la línea de procesadores de red IXP de Intel, mientras que la primera generación utilizaba núcleos StrongARM. La familia de procesadores de red IXP abarca desde soluciones destinadas a aplicaciones de red de oficinas pequeñas y medianas, IXP4XX, hasta procesadores de red de alto rendimiento como el IXP2850, capaz de soportar velocidades de línea de hasta OC-192 . En los dispositivos IXP4XX, el núcleo XScale se utiliza como procesador de plano de control y de datos, proporcionando tanto control del sistema como procesamiento de datos. La tarea del XScale en los dispositivos IXP2XXX es típicamente proporcionar solo funcionalidad de plano de control, con el procesamiento de datos realizado por los micromotores ; ejemplos de tales tareas de plano de control incluyen actualizaciones de tabla de enrutamiento, control de micromotores y administración de memoria.

CE

En abril de 2007, Intel anunció un procesador basado en XScale dirigido a los mercados de electrónica de consumo , el Intel CE 2110 (nombre en código Olo River). [29]

Aplicaciones

Los microprocesadores XScale se pueden encontrar en productos como el popular dispositivo de mano BlackBerry de RIM , la familia de Pocket PC Dell Axim , la mayoría de las líneas de dispositivos de mano Zire , Treo y Tungsten de Palm , versiones posteriores del Sharp Zaurus , el Motorola A780 , el Acer n50, la serie Compaq iPaq 3900 y muchos otros PDA . Se utiliza como CPU principal en la computadora de escritorio Iyonix PC que ejecuta RISC OS y en el NSLU2 (Slug) que ejecuta una forma de Linux . El XScale también se utiliza en dispositivos como PVP (reproductores de video portátiles), PMC (centros multimedia portátiles), incluido el reproductor multimedia portátil Creative Zen y el lector de libros electrónicos Amazon Kindle , y en sistemas integrados industriales. En el otro extremo del mercado, los procesadores de E/S de almacenamiento XScale IOP33x se utilizan en algunas plataformas de servidor basadas en Intel Xeon .

Venta de procesadores de la línea PXA

El 27 de junio de 2006 se anunció la venta de los activos de procesadores móviles XScale PXA de Intel. Intel acordó vender el negocio XScale PXA a Marvell Technology Group por un monto estimado de 600 millones de dólares en efectivo y la asunción de pasivos no especificados. La medida tenía como objetivo permitir a Intel concentrar sus recursos en sus negocios centrales x86 y servidores. Marvell posee una licencia de arquitectura completa para ARM, lo que le permite diseñar chips para implementar el conjunto de instrucciones ARM, no solo licenciar un núcleo de procesador. [30]

La adquisición se completó el 9 de noviembre de 2006. Se esperaba que Intel continuara fabricando procesadores XScale hasta que Marvell consiguiera otras instalaciones de fabricación, y continuaría fabricando y vendiendo los procesadores IXP e IOP, ya que no eran parte del acuerdo. [31]

El esfuerzo de Intel en XScale se inició con la compra de la división StrongARM de Digital Equipment Corporation en 1998. [32] Intel todavía posee una licencia ARM incluso después de la venta de XScale; [32] esta licencia es a nivel arquitectónico. [33]

Véase también

Referencias

  1. ^ "Marvell compra la unidad de procesadores portátiles de Intel por 600 millones de dólares". EETimes .
  2. ^ "Microarquitectura Intel XScale" (PDF) .
  3. ^ "Manual del desarrollador de la microarquitectura Intel XScale(R) de tercera generación" (PDF) . Mayo de 2007. Archivado desde el original (PDF) el 25 de febrero de 2008.
  4. ^ "Intel y Symbian ofrecerán una plataforma de telefonía móvil con capacidad de datos". www.intel.com . Consultado el 13 de octubre de 2024 .
  5. ^ "SoC ARM Marvell: la documentación del kernel de Linux". www.kernel.org . Consultado el 27 de enero de 2021 .
  6. ^ ab "Hoja de datos de procesadores PXA210 en formato pdf - Procesadores de aplicaciones. Equivalente, Catálogo". datasheetspdf.com . Consultado el 27 de enero de 2021 .
  7. ^ 01net (14 de febrero de 2002). "Intel XScale, un procesador más potente para las PDA". 01net (en francés) . Consultado el 27 de enero de 2021 .{{cite web}}: CS1 maint: nombres numéricos: lista de autores ( enlace )
  8. ^ "Documentación/arm/Marvell/README · 15a48d6f42683f0d53b131b824c18a7bf84978b1 · ARM/NXP/i.MX6/BSP8/release/linux-4-14-secoboards-imx6-rel". GitLab . Consultado el 27 de enero de 2021 .
  9. ^ "Marvell presenta procesadores de aplicaciones de próxima generación" (nota de prensa). Marvell . 29 de noviembre de 2006. Archivado desde el original el 16 de diciembre de 2009.
  10. ^ "Intel anuncia 'Internet inalámbrico en un chip' para teléfonos móviles". Intel Newsroom . 2003-02-13. Archivado desde el original el 2022-01-24 . Consultado el 2022-01-24 .
  11. ^ Kulish, Kim (18 de febrero de 2003). "Intel muestra el chip Manitoba PXA800F para smartphones todo en uno..." Getty Images . Corbis . Consultado el 24 de enero de 2022 .
  12. ^ Young, Doug (13 de febrero de 2003). "Intel lanza un chip para teléfonos celulares y anuncia patrocinios". Reuters .
  13. ^ Smith, Tony (19 de julio de 2005). «Teléfono con música O2 XM: debuta la tecnología Intel Mobile Media». The Register . Archivado desde el original el 24 de enero de 2022. Consultado el 24 de enero de 2022 .
  14. ^ Krazit, Tom (6 de junio de 2005). "O2 finalmente le da un hogar a Manitoba de Intel". PC World Australia . Servicio de noticias IDG. Archivado desde el original el 24 de enero de 2022. Consultado el 24 de enero de 2022 .
  15. ^ Hoja de datos del procesador de aplicaciones Intel XScale PXA900 (Hermon) con módem | CPUlist. PDAdb.net (25 de febrero de 2012). Consultado el 2 de agosto de 2013.
  16. ^ Goldstein, Harry (1 de enero de 2005). "Intel lo intenta una y otra vez". IEEE Spectrum . Consultado el 24 de enero de 2022 .
  17. ^ "Marvell presenta procesadores de aplicaciones de próxima generación para teléfonos inteligentes, dispositivos portátiles y dispositivos electrónicos de consumo". Marvell . 2006-11-29 . Consultado el 2022-01-24 .
  18. ^ "Página de producto de los procesadores Marvell ARMADA 100". Archivado desde el original el 16 de abril de 2010.
  19. ^ (en holandés) Google Vertalen. Traducir.google.nl. Recuperado el 2 de agosto de 2013.
  20. ^ ab "Marvell potencia los teléfonos móviles TD-SCDMA de mercado masivo con el chipset PXA920" (nota de prensa). Marvell. 8 de septiembre de 2009. Archivado desde el original el 3 de junio de 2010.
  21. ^ ab Hoja de datos del procesador de aplicaciones Marvell PXA935 (Tavor-P65) con módem | CPUlist. PDAdb.net (25 de febrero de 2012). Consultado el 2 de agosto de 2013.
  22. ^ Hoja de datos del procesador de aplicaciones Marvell PXA930 (Tavor-MG1) con módem | CPUlist. PDAdb.net (25 de febrero de 2012). Consultado el 2 de agosto de 2013.
  23. ^ "Marvell: Historia de la CPU". extranet.marvell.com . Archivado desde el original el 3 de agosto de 2010 . Consultado el 17 de enero de 2022 .
  24. ^ Blackberry Torch 9800 - Desmontaje : TechInsights. Ubmtechinsights.com (25 de octubre de 2012). Consultado el 2 de agosto de 2013.
  25. ^ "BlackBerry Torch - Front". Archivado desde el original el 17 de julio de 2011 . Consultado el 12 de noviembre de 2010 .
  26. ^ Fingas, Jon. (14 de agosto de 2012) Los chips Marvell PXA988 y PXA986 admiten 3G en China y el mundo sin tener que reinventar la rueda (ni el teléfono). Engadget.com. Consultado el 2 de agosto de 2013.
  27. ^ Samsung Galaxy Tab 3 funciona con un procesador Marvell PXA986. Ubergizmo. Consultado el 2 de agosto de 2013.
  28. ^ Gorman, Michael. (19 de febrero de 2013) Marvell anuncia el SoC de cuatro núcleos PXA1088 para teléfonos y tabletas trotamundos. Engadget.com. Consultado el 2 de agosto de 2013.
  29. ^ "El procesador multimedia Intel System-On-A-Chip impulsa la nueva generación de dispositivos electrónicos de consumo".
  30. ^ "Acerca de la microarquitectura Intel XScale". Archivado desde el original el 2 de agosto de 2009.
  31. ^ "Intel abandona los procesadores para teléfonos móviles". Archivado desde el original el 8 de septiembre de 2012.
  32. ^ ab "Intel vende el negocio XScale a Marvell por 600 millones de dólares".
  33. ^ AMD se lanza al negocio de servidores ARM. Forbes. Consultado el 2 de agosto de 2013.

Enlaces externos