stringtranslate.com

Proceso de 90 nm

El proceso de 90 nm se refiere a la tecnología utilizada en la fabricación de semiconductores para crear circuitos integrados con un tamaño mínimo de característica de 90 nanómetros. Fue un avance con respecto al proceso anterior de 130 nm . Con el tiempo, lo sucedieron nodos de proceso más pequeños, como los procesos de 65 nm , 45 nm y 32 nm .

Fue comercializado entre 2003 y 2005 por empresas de semiconductores como Toshiba , Sony , Samsung , IBM , Intel , Fujitsu , TSMC , Elpida , AMD , Infineon , Texas Instruments y Micron Technology .

El origen del valor de 90 nm es histórico; refleja una tendencia de escalamiento del 70 % cada 2 o 3 años. La denominación está determinada formalmente por la Hoja de Ruta Tecnológica Internacional para Semiconductores (ITRS).

El tamaño de oblea de 300 mm se convirtió en la norma en el nodo de 90 nm. El tamaño de oblea anterior era de 200 mm de diámetro.

Muchas empresas (pero no todas) introdujeron la longitud de onda de 193  nm para la litografía de capas críticas, principalmente durante el nodo de 90 nm. Los problemas de rendimiento asociados con esta transición (debido al uso de nuevas fotorresistencias ) se reflejaron en los altos costos asociados con esta transición.

Desde al menos 1997, los "nodos de proceso" han recibido este nombre con fines puramente comerciales y no tienen relación con las dimensiones del circuito integrado; [1] ni la longitud de la compuerta, ni el paso del metal ni el paso de la compuerta en un dispositivo de "90 nm" son noventa nanómetros. [2] [3] [4] [5]

Historia

El ingeniero iraní Ghavam Shahidi (posteriormente director de IBM ) fabricó un MOSFET de silicio de  90 nm con DA Antoniadis y HI Smith en el MIT en 1988. El dispositivo se fabricó mediante litografía de rayos X. [6]

Toshiba, Sony y Samsung desarrollaron un  proceso de 90 nm durante 2001-2002, antes de introducirlo en 2002 para la eDRAM de Toshiba y la memoria flash NAND de 2 Gb de Samsung . [7] [8] IBM demostró un proceso CMOS de silicio sobre aislante (SOI) de 90 nm , con el desarrollo dirigido por Shahidi, en 2002. El mismo año, Intel demostró un proceso de silicio deformado de 90 nm . [9] Fujitsu introdujo comercialmente su proceso de 90 nm en 2003 [10] seguido por TSMC en 2004. [11]     

Gurtej Singh Sandhu, de Micron Technology, inició el desarrollo de películas de alta densidad con deposición de capas atómicas para dispositivos de memoria DRAM . Esto ayudó a impulsar la implementación rentable de la memoria de semiconductores , comenzando con la DRAM de nodo de 90 nm . [12] 

El proceso de 90 nm de Intel tiene una densidad de transistores de 1,45 millones de transistores por milímetro cuadrado (MTr/mm2). [13]

Ejemplo: Proceso Elpida 90 nm DDR2 SDRAM

Proceso SDRAM DDR2 de 90 nm de Elpida Memory . [14]

Procesadores que utilizan tecnología de proceso de 90 nm

Véase también

Referencias

  1. ^ "No más nanómetros – EEJournal". 23 de julio de 2020.
  2. ^ Shukla, Priyank. "Una breve historia de la evolución de los nodos de proceso". design-reuse.com . Consultado el 9 de julio de 2019 .
  3. ^ Hruska, Joel. "14nm, 7nm, 5nm: ¿Hasta dónde puede llegar el CMOS? Depende de si le preguntas a los ingenieros o a los economistas..." ExtremeTech .
  4. ^ "Exclusivo: ¿Intel realmente está empezando a perder su liderazgo en materia de procesos? El nodo de 7 nm está previsto para su lanzamiento en 2022". wccftech.com . 10 de septiembre de 2016.
  5. ^ "La vida en 10 nm. (¿O es 7 nm?) Y 3 nm: opiniones sobre plataformas de silicio avanzadas". eejournal.com . 12 de marzo de 2018.
  6. ^ Shahidi, Ghavam G.; Antoniadis, DA; Smith, HI (diciembre de 1988). "Reducción de la corriente de sustrato generada por electrones calientes en MOSFET de silicio con longitud de canal inferior a 100 nm". IEEE Transactions on Electron Devices . 35 (12): 2430–. Bibcode :1988ITED...35.2430S. doi :10.1109/16.8835.
  7. ^ "Toshiba y Sony realizan importantes avances en tecnologías de procesamiento de semiconductores". Toshiba . 3 de diciembre de 2002 . Consultado el 26 de junio de 2019 .
  8. ^ "Nuestra orgullosa herencia de 2000 a 2009". Samsung Semiconductor . Samsung . Consultado el 25 de junio de 2019 .
  9. ^ "IBM e Intel se pelean por los 90 nm". EE Times . 13 de diciembre de 2002 . Consultado el 17 de septiembre de 2019 .
  10. ^ "Tecnología de proceso CMOS de 65 nm" (PDF) . Archivado desde el original (PDF) el 16 de mayo de 2020 . Consultado el 20 de junio de 2019 .
  11. ^ "Tecnología de 90 nm". TSMC . Consultado el 30 de junio de 2019 .
  12. ^ "Ganadores del premio IEEE Andrew S. Grove". Premio IEEE Andrew S. Grove . Instituto de Ingenieros Eléctricos y Electrónicos . Archivado desde el original el 9 de septiembre de 2018. Consultado el 4 de julio de 2019 .
  13. ^ "Análisis en profundidad del Cannon Lake de 10 nm y el Core i3-8121U de Intel".
  14. ^ Presentación de Elpida en el Via Technology Forum 2005 y Informe anual de Elpida 2005
  15. ^ "EL EMOTION ENGINE® Y EL SINTETIZADOR GRÁFICO UTILIZADOS EN EL NÚCLEO DE PLAYSTATION® SE CONVIERTEN EN UN SOLO CHIP" (PDF) . Sony . 21 de abril de 2003 . Consultado el 26 de junio de 2019 .

Enlaces externos