stringtranslate.com

Spectre (vulnerabilidad de seguridad)

Spectre es una de las dos vulnerabilidades de ejecución transitoria originales de la CPU (la otra es Meltdown ), que implican ataques de canal lateral de microarquitectura . Estos afectan a los microprocesadores modernos que realizan predicción de bifurcaciones y otras formas de especulación. [1] [2] [3] En la mayoría de los procesadores, la ejecución especulativa resultante de una predicción errónea de bifurcaciones puede dejar efectos secundarios observables que pueden revelar datos privados a los atacantes. Por ejemplo, si el patrón de accesos a la memoria realizado por dicha ejecución especulativa depende de datos privados, el estado resultante de la caché de datos constituye un canal lateral a través del cual un atacante puede extraer información sobre los datos privados mediante un ataque de temporización . [4] [5] [6]

Se han identificado dos vulnerabilidades y exposiciones comunes relacionadas con Spectre: CVE - 2017-5753 (elusión de comprobación de límites, Spectre-V1, Spectre 1.0) y CVE- 2017-5715 (inyección de destino de ramificación, Spectre-V2). [7] Se descubrió que los motores JIT utilizados para JavaScript eran vulnerables. Un sitio web puede leer datos almacenados en el navegador de otro sitio web o la propia memoria del navegador. [8]

A principios de 2018, Intel informó que rediseñaría sus CPU para ayudar a proteger contra Spectre y vulnerabilidades relacionadas con Meltdown (especialmente, Spectre variante 2 y Meltdown, pero no Spectre variante 1). [9] [10] [11] [12] El 8 de octubre de 2018, se informó que Intel había agregado mitigaciones de hardware y firmware con respecto a las vulnerabilidades Spectre y Meltdown a sus últimos procesadores. [13]

Historia

En 2002 y 2003, Yukiyasu Tsunoo y sus colegas de NEC demostraron cómo atacar los sistemas de cifrado de clave simétrica MISTY y DES , respectivamente. En 2005, Daniel Bernstein de la Universidad de Illinois, Chicago, informó sobre la extracción de una clave AES de OpenSSL mediante un ataque de sincronización de caché, y Colin Percival realizó un ataque en funcionamiento a la clave RSA de OpenSSL utilizando la caché del procesador Intel. En 2013, Yuval Yarom y Katrina Falkner de la Universidad de Adelaida demostraron cómo la medición del tiempo de acceso a los datos permite a una aplicación maliciosa determinar si la información se leyó de la caché o no. Si se leyó de la caché, el tiempo de acceso sería muy corto, lo que significa que los datos leídos podrían contener la clave privada de los algoritmos de cifrado. Esta técnica se utilizó para atacar con éxito GnuPG, AES y otras implementaciones criptográficas. [14] [15] [16] [17] [18] [19] En enero de 2017, Anders Fogh realizó una presentación en la Universidad del Ruhr de Bochum sobre cómo encontrar automáticamente canales encubiertos, especialmente en procesadores con una tubería utilizada por más de un núcleo de procesador. [20]

Spectre fue descubierto de forma independiente por Jann Horn, del Proyecto Zero de Google , y Paul Kocher, en colaboración con Daniel Genkin, Mike Hamburg, Moritz Lipp y Yuval Yarom. [4] [21] Se hizo público junto con otra vulnerabilidad, Meltdown, el 3 de enero de 2018, después de que los proveedores de hardware afectados ya hubieran sido informados del problema el 1 de junio de 2017. [22] La vulnerabilidad se denominó Spectre porque estaba "basada en la causa raíz, la ejecución especulativa. Como no es fácil de solucionar, nos perseguirá durante bastante tiempo". [23]

El 28 de enero de 2018, se informó que Intel compartió noticias de las vulnerabilidades de seguridad Meltdown y Spectre con empresas de tecnología chinas, antes de notificar las fallas al gobierno de EE. UU. [24]

El 29 de enero de 2018, se informó que Microsoft había lanzado una actualización de Windows que deshabilitó la corrección problemática del microcódigo de Intel (que, en algunos casos, había causado reinicios, inestabilidad del sistema y pérdida o corrupción de datos) emitida anteriormente por Intel para el ataque Spectre Variant 2. [25] [26] Woody Leonhard de ComputerWorld expresó su preocupación por la instalación del nuevo parche de Microsoft. [27]

Desde la divulgación de Spectre y Meltdown en enero de 2018, se ha investigado mucho sobre vulnerabilidades relacionadas con la ejecución especulativa. El 3 de mayo de 2018, se informó de ocho fallas adicionales de la clase Spectre, denominadas provisionalmente Spectre-NG por c't (una revista informática alemana), que afectaban a Intel y posiblemente a procesadores AMD y ARM. Intel informó que estaban preparando nuevos parches para mitigar estas fallas. [28] [29] [30] [31] Los afectados son todos los procesadores Core i Series y derivados de Xeon desde Nehalem (2010) y los procesadores basados ​​en Atom desde 2013. [32] Intel pospuso el lanzamiento de las actualizaciones de microcódigo hasta el 10 de julio de 2018. [33] [32]

El 21 de mayo de 2018, Intel publicó información sobre las dos primeras vulnerabilidades de canal lateral de la clase Spectre-NG CVE- 2018-3640 (lectura de registro del sistema no autorizado, variante 3a) y CVE- 2018-3639 ( bypass de almacenamiento especulativo , variante 4), [34] [35] también conocidas como Intel SA-00115 y HP PSR-2018-0074, respectivamente.

Según Amazon Alemania , Cyberus Technology, SYSGO y Colin Percival ( FreeBSD ), Intel reveló detalles sobre la tercera variante de Spectre-NG CVE- 2018-3665 ( Lazy FP State Restore , Intel SA-00145) el 13 de junio de 2018. [36] [37] [38] [39] También se conoce como fuga de estado de Lazy FPU (abreviado "LazyFP") y "Spectre-NG 3". [38]

El 10 de julio de 2018, Intel reveló detalles sobre otra vulnerabilidad de la clase Spectre-NG llamada "Bounds Check Bypass Store" (BCBS), o "Spectre 1.1" (CVE- 2018-3693), que podía escribir y leer fuera de los límites. [40] [41] [42] [43] También se mencionó otra variante llamada "Spectre 1.2". [43]

A finales de julio de 2018, investigadores de las universidades de Saarland y California revelaron ret2spec (también conocido como "Spectre v5") y SpectreRSB , nuevos tipos de vulnerabilidades de ejecución de código que utilizan el búfer de pila de retorno (RSB). [44] [45] [46]

A finales de julio de 2018, investigadores de la Universidad Tecnológica de Graz revelaron "NetSpectre", un nuevo tipo de ataque remoto similar a Spectre v1, pero que no necesita que se ejecute ningún código controlado por el atacante en el dispositivo de destino. [47] [48]

El 8 de octubre de 2018, se informó que Intel había agregado mitigaciones de hardware y firmware con respecto a las vulnerabilidades Spectre y Meltdown a sus últimos procesadores. [13]

En noviembre de 2018, se revelaron cinco nuevas variantes de los ataques. Los investigadores intentaron comprometer los mecanismos de protección de la CPU utilizando código para explotar la tabla de historial de patrones de la CPU , el búfer de destino de la bifurcación, el búfer de pila de retorno y la tabla de historial de bifurcaciones. [49]

En agosto de 2019, se informó de una vulnerabilidad de CPU de ejecución transitoria relacionada , Spectre SWAPGS (CVE- 2019-1125). [50] [51] [52]

En julio de 2020, un equipo de investigadores de la Universidad Técnica de Kaiserslautern (Alemania) publicó una nueva variante de Spectre llamada "Spectre-STC" (contención de un solo subproceso). Esta variante hace uso de la contención de puertos en recursos compartidos y se puede aplicar incluso en núcleos de un solo subproceso. [53]

A fines de abril de 2021, se descubrió una vulnerabilidad relacionada que vulnera los sistemas de seguridad diseñados para mitigar Spectre mediante el uso de la caché de microoperaciones. Se sabe que la vulnerabilidad afecta a los procesadores Skylake y posteriores de Intel y a los procesadores basados ​​en Zen de AMD. [54]

En febrero de 2023, un equipo de investigadores de la Universidad Estatal de Carolina del Norte descubrió una nueva vulnerabilidad de ejecución de código llamada Spectre-HD, también conocida como "Spectre SRV" o "Spectre v6". Esta vulnerabilidad aprovecha la técnica de vectorización especulativa con reproducción selectiva (SRV) que muestra "fugas de especulación de dimensiones superiores". [55] [56]

Mecanismo

En lugar de una única vulnerabilidad fácil de solucionar, el documento técnico de Spectre [1] describe toda una clase [57] de vulnerabilidades potenciales. Todas se basan en la explotación de los efectos secundarios de la ejecución especulativa , un medio común para ocultar la latencia de la memoria y, por lo tanto, acelerar la ejecución en los microprocesadores modernos . En particular, Spectre se centra en la predicción de bifurcaciones , que es un caso especial de ejecución especulativa. A diferencia de la vulnerabilidad relacionada Meltdown revelada al mismo tiempo, Spectre no se basa en una característica específica del sistema de protección y gestión de memoria de un solo procesador , sino que es una idea más generalizada.

El punto de partida del libro blanco es el de un ataque de sincronización de canal lateral [58] aplicado a la maquinaria de predicción de bifurcaciones de microprocesadores modernos con ejecución especulativa . Si bien a nivel arquitectónico documentado en los libros de datos de procesadores, se especifica que cualquier resultado de predicción errónea se descarte después del hecho, la ejecución especulativa resultante aún puede dejar efectos secundarios, como líneas de caché cargadas . Estos pueden afectar luego los llamados aspectos no funcionales del entorno informático. Si dichos efectos secundarios, incluidos, entre otros, la sincronización del acceso a la memoria, son visibles para un programa malicioso y se pueden diseñar para que dependan de datos confidenciales en poder del proceso víctima , entonces estos efectos secundarios pueden hacer que dichos datos se vuelvan discernibles. Esto puede suceder a pesar de que los arreglos de seguridad a nivel de arquitectura formal funcionen según lo diseñado; en este caso, las optimizaciones inferiores a nivel de microarquitectura para la ejecución del código pueden filtrar información no esencial para la corrección de la ejecución normal del programa.

El artículo de Spectre explica el ataque en cuatro pasos esenciales:

  1. En primer lugar, demuestra que la lógica de predicción de bifurcaciones en los procesadores modernos puede entrenarse para que acierte o falle de manera confiable en función del funcionamiento interno de un programa malicioso.
  2. Luego continúa demostrando que la diferencia subsiguiente entre aciertos y errores de caché se puede cronometrar de manera confiable, de modo que lo que debería haber sido una simple diferencia no funcional de hecho se puede subvertir en un canal encubierto que extrae información del funcionamiento interno de un proceso no relacionado.
  3. En tercer lugar, el artículo sintetiza los resultados con exploits de programación orientada al retorno y otros principios con un programa de ejemplo simple y un fragmento de JavaScript ejecutado bajo un navegador sandbox ; en ambos casos, se demuestra que todo el espacio de direcciones del proceso víctima (es decir, el contenido de un programa en ejecución) es legible simplemente explotando la ejecución especulativa de ramas condicionales en el código generado por un compilador estándar o la maquinaria JavaScript presente en un navegador existente. La idea básica es buscar en el código existente lugares donde la especulación toca datos que de otro modo serían inaccesibles, manipular el procesador hasta un estado en el que la ejecución especulativa tenga que contactar esos datos y luego cronometrar el efecto secundario de que el procesador sea más rápido, si su maquinaria de precarga ya preparada realmente cargó una línea de caché.
  4. Por último, el artículo concluye generalizando el ataque a cualquier estado no funcional del proceso víctima. Analiza brevemente incluso efectos no funcionales tan poco obvios como la latencia del arbitraje del bus .

Meltdown se puede utilizar para leer memoria privilegiada en el espacio de direcciones de un proceso al que ni siquiera el propio proceso podría acceder normalmente (en algunos sistemas operativos desprotegidos, esto incluye datos pertenecientes al núcleo o a otros procesos). Se ha demostrado [59] que, en determinadas circunstancias, la vulnerabilidad Spectre también es capaz de leer memoria fuera del espacio de memoria del proceso actual.

El documento Meltdown distingue las dos vulnerabilidades de esta manera: "Meltdown se diferencia de los ataques Spectre en varios aspectos, en particular en que Spectre requiere una adaptación al entorno de software del proceso víctima, pero se aplica de manera más amplia a las CPU y no es mitigado por KAISER ". [60]

Explotación remota

Si bien Spectre es más sencillo de explotar con un lenguaje compilado como C o C++ ejecutando localmente código de máquina , también puede explotarse de forma remota mediante código alojado en páginas web maliciosas remotas , por ejemplo, lenguajes interpretados como JavaScript , que se ejecutan localmente utilizando un navegador web . El malware con script tendría entonces acceso a toda la memoria asignada al espacio de direcciones del navegador en ejecución. [61]

El exploit que utiliza JavaScript remoto sigue un flujo similar al de un exploit de código de máquina local: vaciar caché → modificar el predictor de rama → lecturas cronometradas (seguimiento de aciertos y errores).

La clflushinstrucción ( cache-line flush) no se puede utilizar directamente desde JavaScript, por lo que garantizar su uso requiere otro enfoque. Hay varias políticas de expulsión automática de caché que la CPU puede elegir, y el ataque se basa en poder forzar esa expulsión para que el exploit funcione. Se descubrió que el uso de un segundo índice en la matriz grande, que se mantuvo varias iteraciones detrás del primer índice, haría que se utilizara la política de uso menos reciente (LRU). Esto permite que el exploit borre efectivamente el caché simplemente haciendo lecturas incrementales en un conjunto de datos grande. El predictor de bifurcación se descontrolaría al iterar sobre un conjunto de datos muy grande utilizando operaciones bit a bit para configurar el índice en valores dentro del rango y luego usar una dirección fuera de los límites para la iteración final. Entonces se requeriría un temporizador de alta precisión para determinar si un conjunto de lecturas condujo a un acierto o un error de caché. Si bien navegadores como Chrome , Firefox y Tor Browser (basado en Firefox) han impuesto restricciones a la resolución de los temporizadores (requeridos en el exploit Spectre para determinar si el caché es correcto o incorrecto), al momento de redactar el documento técnico, el autor de Spectre pudo crear un temporizador de alta precisión utilizando la función de trabajador web de HTML5 .

Se requirió una codificación y análisis cuidadosos del código de máquina ejecutado por el compilador de compilación justo a tiempo (JIT) para garantizar que el borrado de caché y las lecturas explotadoras no se optimizaran.

Impacto

A partir de 2018, casi todos los sistemas informáticos se ven afectados por Spectre, incluidos los equipos de escritorio, los portátiles y los dispositivos móviles. Específicamente, se ha demostrado que Spectre funciona en procesadores Intel , AMD , basados ​​en ARM e IBM . [62] [63] [64] Intel respondió a las vulnerabilidades de seguridad reportadas con una declaración oficial. [65] AMD reconoció originalmente la vulnerabilidad a una de las variantes de Spectre ( variante 1 de GPZ ), pero declaró que la vulnerabilidad a otra (variante 2 de GPZ) no se había demostrado en los procesadores AMD, alegando que representaba un "riesgo de explotación casi nulo" debido a las diferencias en la arquitectura de AMD. En una actualización nueve días después, AMD dijo que "la variante 2 de GPZ ... es aplicable a los procesadores AMD" y definió los próximos pasos para mitigar la amenaza. Varias fuentes tomaron la noticia de AMD sobre la vulnerabilidad a la variante 2 de GPZ como un cambio con respecto a la afirmación anterior de AMD, aunque AMD sostuvo que su posición no había cambiado. [66] [67] [68]

Los investigadores han indicado que la vulnerabilidad Spectre posiblemente pueda afectar a algunos procesadores Intel , AMD y ARM . [69] [70] [71] [72] Específicamente, los procesadores con ejecución especulativa se ven afectados con estas vulnerabilidades. [73]

ARM ha informado que la mayoría de sus procesadores no son vulnerables, y ha publicado una lista de los procesadores específicos que están afectados por la vulnerabilidad Spectre: Cortex-R7 , Cortex-R8 , Cortex-A8 , Cortex-A9 , Cortex-A15 , Cortex-A17 , Cortex- A57 , Cortex-A72 , Cortex-A73 y núcleos ARM Cortex-A75 . [74] También se ha informado que los núcleos de CPU personalizados de otros fabricantes que implementan el conjunto de instrucciones ARM, como los que se encuentran en los miembros más nuevos de los procesadores de la serie A de Apple , son vulnerables. [75] En general, las CPU de mayor rendimiento tienden a tener una ejecución especulativa intensiva, lo que las hace vulnerables a Spectre. [59]

Spectre tiene el potencial de tener un mayor impacto en los proveedores de servicios en la nube que Meltdown. Mientras que Meltdown permite que aplicaciones no autorizadas lean desde la memoria privilegiada para obtener datos confidenciales de procesos que se ejecutan en el mismo servidor en la nube, Spectre puede permitir que programas maliciosos induzcan a un hipervisor a transmitir los datos a un sistema invitado que se ejecuta sobre él. [76]

Mitigación

Dado que Spectre representa toda una clase de ataques, lo más probable es que no pueda existir un único parche para él. [3] Si bien ya se está trabajando para abordar casos especiales de la vulnerabilidad, el sitio web original dedicado a Spectre y Meltdown afirma: "Como [Spectre] no es fácil de solucionar, nos perseguirá durante mucho tiempo". [4] Al mismo tiempo, según Dell : "Hasta la fecha [7 de febrero de 2018] no se han informado explotaciones 'reales' de estas vulnerabilidades [es decir, Meltdown y Spectre], aunque los investigadores han producido pruebas de concepto". [77] [78]

Se han publicado varios procedimientos para ayudar a proteger las computadoras domésticas y los dispositivos relacionados de la vulnerabilidad. [79] [80] [81] [82] Se ha informado que los parches Spectre reducen significativamente el rendimiento, especialmente en computadoras más antiguas; en las plataformas Core de octava generación más nuevas, se han medido caídas en el rendimiento de referencia del 2 al 14 por ciento. [83] [5] [84] [85] [86] El 18 de enero de 2018, se informaron reinicios no deseados, incluso para chips Intel más nuevos, debido a los parches Meltdown y Spectre.

A principios de enero de 2018, Chris Hoffman del sitio web HowToGeek sugirió que la solución requeriría "un rediseño completo del hardware para las CPU en general" y señaló cómo, una vez que se lanzaron las correcciones de software, los puntos de referencia mostraron y los proveedores afirmaron que algunos usuarios podrían notar desaceleraciones en sus computadoras una vez parcheadas. [87]

Ya en 2018 se ha utilizado el aprendizaje automático para detectar ataques en tiempo real. [88] Esto ha dado lugar a una carrera armamentista en la que los atacantes también emplean el aprendizaje automático para frustrar los detectores basados ​​en aprendizaje automático, y los detectores a su vez emplean redes generativas antagónicas para adaptar las técnicas de detección. [89]

El 4 de enero de 2018, Google detalló una nueva técnica en su blog de seguridad llamada "Retpoline" (un acrónimo de return y trampoline ) [90] que puede superar la vulnerabilidad de Spectre con una cantidad insignificante de sobrecarga del procesador. Implica la dirección a nivel de compilador de ramas indirectas hacia un objetivo diferente que no da como resultado una ejecución especulativa fuera de orden vulnerable . [91] [92] Si bien se desarrolló para el conjunto de instrucciones x86 , los ingenieros de Google creen que la técnica también se puede transferir a otros procesadores. [93]

El 25 de enero de 2018, se presentó el estado actual y las posibles consideraciones futuras para resolver las vulnerabilidades Meltdown y Spectre. [94]

En marzo de 2018, Intel anunció que había desarrollado correcciones de hardware solo para Meltdown y Spectre-V2, pero no para Spectre-V1. [9] [10] [11] Las vulnerabilidades se mitigaron mediante un nuevo sistema de partición que mejora la separación de procesos y niveles de privilegio. [12]

El 8 de octubre de 2018, se informó que Intel agregó mitigaciones de hardware y firmware con respecto a las vulnerabilidades Spectre y Meltdown a sus procesadores Coffee Lake-R y posteriores. [13]

El 18 de octubre de 2018, los investigadores del MIT sugirieron un nuevo enfoque de mitigación, llamado DAWG (Dynamically Allocated Way Guard), que puede prometer una mejor seguridad sin comprometer el rendimiento. [95]

El 16 de abril de 2019, investigadores de la Universidad de California en San Diego y la Universidad de Virginia propusieron Context-Sensitive Fencing , un mecanismo de defensa basado en microcódigo que inyecta quirúrgicamente cercas en el flujo de ejecución dinámica, protegiendo contra una serie de variantes de Spectre con solo un 8 % de degradación en el rendimiento. [96]

El 26 de noviembre de 2021, investigadores de la Universidad Texas A&M e Intel demostraron que el ataque Spectre (y otra familia de ataques transitorios) no pueden ser detectados por el software antivirus o antimalware típico actualmente disponible, antes de que filtren datos. En particular, demostraron que es fácil generar versiones evasivas de estos ataques para crear malware en lugar de sus dispositivos genéricos para eludir las aplicaciones antivirus actuales. Se demostró que esto se debe al hecho de que estos ataques pueden filtrar datos utilizando instrucciones transitorias que nunca se confirman durante una ventana transitoria muy corta y, por lo tanto, no son visibles desde la capa de arquitectura (software) antes de la fuga, pero sí son visibles en la capa de microarquitectura (hardware). Además, el software se limita a monitorear cuatro contadores de rendimiento de hardware (HPC) cada 100 ns, lo que dificulta y casi imposibilita la recopilación de información sobre la actividad maliciosa correlacionada con estos ataques del software que utiliza aplicaciones antivirus antes de que puedan filtrar datos. [88]

El 20 de octubre de 2022, investigadores de la Universidad Estatal de Carolina del Norte, la Universidad de California en San Diego e Intel anunciaron que habían logrado diseñar la primera tecnología de detección que puede detectar ataques transitorios antes de que se produzcan fugas en la capa de microarquitectura (hardware). Esto se logró mediante la construcción del primer acelerador de aprendizaje automático para seguridad, diseñado para integrarse en chips Intel. Esta tecnología tiene una velocidad rápida de muestreo de la actividad de instrucciones transitorias cada 1 ns y realiza predicciones cada 10 nanosegundos, lo que permite la detección de ataques transitorios como Spectre y Meltdown antes de que se produzcan fugas de datos, y habilita automáticamente las contramedidas en el chip. Esta tecnología también está equipada con entrenamiento adversario, lo que la hace inmune a una gran categoría de versiones adversarias y evasivas del ataque Spectre. [89]

Linux

Cuando Intel anunció que la mitigación de Spectre se puede activar como una "característica de seguridad" en lugar de ser una corrección de errores siempre activa, el creador de Linux, Linus Torvalds, calificó los parches como "basura total y absoluta". [97] [98] Ingo Molnár sugirió entonces el uso de una maquinaria de seguimiento de funciones en el núcleo de Linux para corregir Spectre sin compatibilidad con microcódigo de especulación restringida de rama indirecta (IBRS). Esto, como resultado, solo tendría un impacto en el rendimiento de los procesadores basados ​​en Intel Skylake y arquitecturas más nuevas. [99] [100] [101] Esta maquinaria basada en ftrace y retpoline se incorporó a Linux 4.15 de enero de 2018. [102] El núcleo de Linux proporciona una interfaz sysfs para enumerar el estado actual del sistema con respecto a Spectre en /sys/devices/system/cpu/vulnerabilities/ [59]

Microsoft Windows

El 2 de marzo de 2019, se informó que Microsoft lanzó una importante mitigación de software de Windows 10 (v1809) para la vulnerabilidad de la CPU Spectre v2. [103]

Otro software

Se han publicado varios procedimientos para ayudar a proteger las computadoras domésticas y los dispositivos relacionados de la vulnerabilidad. [79] [80] [81] [82]

Los esfuerzos iniciales de mitigación no estuvieron completamente exentos de incidentes. Al principio, se informó que los parches de Spectre ralentizaban significativamente el rendimiento, especialmente en computadoras más antiguas. En las plataformas Core de octava generación más nuevas , se midieron caídas de rendimiento de referencia de entre el 2 y el 14 por ciento. [83] El 18 de enero de 2018, se informaron reinicios no deseados incluso para chips Intel más nuevos. [99]

Dado que es posible explotar Spectre a través de JavaScript incrustado en sitios web, [1] se planeó incluir mitigaciones contra el ataque de forma predeterminada en Chrome 64. Los usuarios de Chrome 63 podrían mitigar manualmente el ataque habilitando la función de aislamiento del sitiochrome://flags#enable-site-per-process ( ). [106]

A partir de Firefox 57.0.4, Mozilla redujo la resolución de los temporizadores de JavaScript para ayudar a prevenir ataques de tiempo, y se planeó trabajar más en técnicas de análisis de tiempo para futuras versiones. [21] [107]

El 15 de enero de 2018, Microsoft introdujo una mitigación para Spectre en Visual Studio. Esto se puede aplicar mediante el modificador /Qspectre. Un desarrollador tendría que descargar e instalar las bibliotecas adecuadas mediante el instalador de Visual Studio. [108]

Hardware inmunológico

Véase también

Referencias

  1. ^ abc Kocher, Paul ; Genkin, Daniel; Gruss, Daniel; Haas, Werner; Hamburg, Mike; Lipp, Moritz; Mangard, Stefan; Prescher, Thomas; Schwarz, Michael; Yarom, Yuval (2018). "Ataques Spectre: Explotación de la ejecución especulativa" (PDF) . Archivado (PDF) desde el original el 2018-01-03.
  2. ^ Greenberg, Andy (3 de enero de 2018). "Una falla crítica de Intel rompe la seguridad básica de la mayoría de las computadoras". Wired . Archivado desde el original el 3 de enero de 2018. Consultado el 3 de enero de 2018 .
  3. ^ ab Bright, Peter (5 de enero de 2018). "Meltdown y Spectre: esto es lo que Intel, Apple, Microsoft y otros están haciendo al respecto". Ars Technica . Archivado desde el original el 27 de mayo de 2018. Consultado el 6 de enero de 2018 .
  4. ^ abc "Meltdown and Spectre". Universidad Tecnológica de Graz . 2018. Archivado desde el original el 3 de enero de 2018. Consultado el 3 de enero de 2018 .
  5. ^ ab Metz, Cade; Perlroth, Nicole (3 de enero de 2018). «Investigadores descubren dos fallas importantes en las computadoras del mundo». The New York Times . ISSN  0362-4331. Archivado desde el original el 3 de enero de 2018. Consultado el 3 de enero de 2018 .
  6. ^ Warren, Tom (3 de enero de 2018). «Los procesadores de Intel tienen un error de seguridad y la solución podría ralentizar las PC». The Verge . Archivado desde el original el 3 de enero de 2018. Consultado el 3 de enero de 2018 .
  7. ^ abcd Myerson, Terry (9 de enero de 2018). "Comprensión del impacto en el rendimiento de las mitigaciones de Spectre y Meltdown en los sistemas Windows". Microsoft . Archivado desde el original el 25 de mayo de 2018.
  8. ^ Williams, Chris (4 de enero de 2018). "Meltdown, Spectre: los errores de robo de contraseñas en el corazón de las CPU de Intel". The Register . Archivado desde el original el 27 de mayo de 2018.
  9. ^ ab Warren, Tom (15 de marzo de 2018). «Los procesadores Intel se están rediseñando para proteger contra Spectre: más adelante este año llegará nuevo hardware». The Verge . Archivado desde el original el 21 de abril de 2018. Consultado el 15 de marzo de 2018 .
  10. ^ ab Shankland, Stephen (15 de marzo de 2018). "Intel bloqueará los ataques Spectre con nuevos chips este año: los procesadores Cascade Lake para servidores, que llegarán este año, lucharán contra una nueva clase de vulnerabilidades, dice el director ejecutivo Brian Krzanich". CNET . Archivado desde el original el 23 de abril de 2018. Consultado el 15 de marzo de 2018 .
  11. ^ ab Coldewey, Devin (15 de marzo de 2018). «Intel anuncia correcciones de hardware para Spectre y Meltdown en los próximos chips». TechCrunch . Archivado desde el original el 12 de abril de 2018. Consultado el 28 de marzo de 2018 .
  12. ^ ab Smith, Ryan (15 de marzo de 2018). "Intel publica planes de hardware para Spectre y Meltdown: Fixed Gear más adelante este año". AnandTech . Archivado desde el original el 4 de mayo de 2018 . Consultado el 20 de marzo de 2018 .
  13. ^ abc Shilov, Anton (8 de octubre de 2018). «Nuevos procesadores Intel Core y Xeon W-3175X: actualización de seguridad de Spectre y Meltdown». AnandTech . Archivado desde el original el 9 de octubre de 2018. Consultado el 9 de octubre de 2018 .
  14. ^ Tsunoo, Yukiyasu; Tsujihara, Etsuko; Minematsu, Kazuhiko; Miyauchi, Hiroshi (enero de 2002). Criptoanálisis de cifrados en bloque implementados en computadoras con caché . ISITA 2002.
  15. ^ Tsunoo, Yukiyasu; Saito, Teruo; Suzaki, Tomoyasu; Shigeri, Maki; Miyauchi, Hiroshi (2003-09-10) [2003-09-10]. Criptoanálisis de DES implementado en computadoras con caché Criptoanálisis de DES implementado en computadoras con caché . Hardware criptográfico y sistemas integrados, CHES 2003, 5.º taller internacional. Colonia, Alemania.
  16. ^ Bernstein, Daniel J. (14 de abril de 2005). "Ataques de sincronización de caché en AES" (PDF) . Archivado (PDF) desde el original el 17 de enero de 2018. Consultado el 26 de mayo de 2018 .
  17. ^ Percival, Colin (mayo de 2005). "La caché falta por diversión y beneficio" (PDF) . BSDCan '05 (diapositivas de la presentación de la conferencia). Archivado (PDF) desde el original el 12 de octubre de 2017. Consultado el 26 de mayo de 2018 .[1] Archivado el 12 de diciembre de 2018 en Wayback Machine. Reemplazado por: «Falta caché por diversión y beneficio» (PDF) . Octubre de 2005. Archivado (PDF) desde el original el 19 de mayo de 2018. Consultado el 26 de mayo de 2018 .
  18. ^ Yarom, Yuval; Falkner, Katrina (2014-08-24) [2014-08-24]. FLUSH+RELOAD: Un ataque de canal lateral de caché L3 de alta resolución y bajo ruido. 23.° Simposio USENIX. San Diego, California: The University of Adelaide . ISBN 9781931971157Archivado desde el original el 5 de marzo de 2018. Consultado el 26 de mayo de 2018 .
  19. ^ Yarom, Yuval; Genkin, Daniel; Heninger, Nadia (21 de septiembre de 2016). "CacheBleed: un ataque de sincronización en OpenSSL Constant Time RSA". CHES 2016. Archivado desde el original el 12 de diciembre de 2018. Consultado el 15 de enero de 2018 .(Yuval Yarom refiriéndose a la historia.)
  20. ^ Fogh, Anders (12 de enero de 2017). "Escopeta encubierta: búsqueda automática de canales encubiertos en SMT". Canal HackPra de la Cátedra de Seguridad de Redes y Datos . Universidad del Ruhr, Bochum. Archivado desde el original el 12 de diciembre de 2018. Consultado el 14 de enero de 2018 .[2] Archivado el 12 de diciembre de 2018 en Wayback Machine. (Fogh describe un canal lateral usando un dispositivo de escucha artificial que gira su rueda para escuchar con claridad).
  21. ^ ab «Aviso de seguridad de la Fundación Mozilla 2018-01 – Ataque de canal lateral de ejecución especulativa ("Spectre")». Mozilla . Archivado desde el original el 2018-05-16 . Consultado el 2018-05-26 .
  22. ^ Gibbs, Samuel (4 de enero de 2018). «Meltdown y Spectre: los 'peores' errores de CPU que han afectado a prácticamente todas las computadoras». The Guardian . Archivado desde el original el 6 de enero de 2018. Consultado el 6 de enero de 2018 .
  23. ^ "Meltdown y Spectre". spectreattack.com . Archivado desde el original el 2018-01-03 . Consultado el 2018-01-04 .
  24. ^ Lynley, Matthew (28 de enero de 2018). «Intel habría notificado a las empresas chinas sobre una falla de seguridad en sus chips antes que al gobierno de Estados Unidos». TechCrunch . Archivado desde el original el 16 de febrero de 2018. Consultado el 28 de enero de 2018 .
  25. ^ Tung, Liam (29 de enero de 2018). "Parche de emergencia de Windows: la nueva actualización de Microsoft elimina la corrección Spectre de Intel: la actualización fuera de banda deshabilitó la mitigación de Intel para el ataque Spectre Variant 2, que según Microsoft puede causar pérdida de datos además de reinicios inesperados". ZDNet . Archivado desde el original el 4 de abril de 2018 . Consultado el 29 de enero de 2018 .
  26. ^ "Actualización para deshabilitar la mitigación contra Spectre, variante 2". Microsoft . 2018-01-26. Archivado desde el original el 2018-03-31 . Consultado el 2018-01-29 .
  27. ^ Leonhard, Woody (29 de enero de 2018). «Parche sorpresa de Windows KB 4078130: la forma más difícil de deshabilitar Spectre 2». Computerworld . Archivado desde el original el 29 de enero de 2018. Consultado el 29 de enero de 2018 .
  28. ^
    • Schmidt, Jürgen (3 de mayo de 2018). "Super-GAU para Intel: Weitere Spectre-Lücken im Anflug". c't - magazin für computertechnik (en alemán). Heise en línea . Archivado desde el original el 5 de mayo de 2018 . Consultado el 3 de mayo de 2018 .
    • Schmidt, Jürgen (3 de mayo de 2018). «Exclusivo: Spectre-NG: se revelan múltiples fallas nuevas en CPU de Intel, varias de ellas graves». c't - magazin für computertechnik . Heise Online . Archivado desde el original el 5 de mayo de 2018 . Consultado el 4 de mayo de 2018 .
  29. ^ Fischer, Martín (3 de mayo de 2018). "Spectre-NG: Intel-Prozessoren von neuen hochriskanten Sicherheitslücken betroffen, erste Reaktionen von AMD e Intel". c't - magazin für computertechnik (en alemán). Heise en línea . Archivado desde el original el 5 de mayo de 2018 . Consultado el 4 de mayo de 2018 .
  30. ^ Tung, Liam (4 de mayo de 2018). "¿Están a punto de revelarse ocho nuevas fallas de la clase 'Spectre'? Intel confirma que está preparando soluciones". ZDNet . Archivado desde el original el 22 de mayo de 2018. Consultado el 4 de marzo de 2018 .
  31. ^ Kumar, Mohit (4 de mayo de 2018). "Se encontraron 8 nuevas vulnerabilidades de clase Spectre (Spectre-NG) en las CPU de Intel". The Hacker News . Archivado desde el original el 5 de mayo de 2018. Consultado el 5 de mayo de 2018 .
  32. ^ ab Schmidt, Jürgen (7 de mayo de 2018). "Spectre-NG: Intel verschiebt die ersten Patches - koordinierte Veröffentlichung aufgeschoben". Heise en línea (en alemán). Archivado desde el original el 7 de mayo de 2018 . Consultado el 7 de mayo de 2018 .
  33. ^ Armasu, Lucian (8 de mayo de 2018). «Intel pospone la aplicación de parches a las fallas de la CPU de Spectre NG». Tom's Hardware . Archivado desde el original el 9 de mayo de 2018. Consultado el 11 de mayo de 2018 .
  34. ^ abc Windeck, Christof (21 de mayo de 2018). "CPU-Sicherheitslücken Spectre-NG: Actualizaciones lanzadas una actualización". Seguridad de Heise (en alemán). Archivado desde el original el 21 de mayo de 2018 . Consultado el 21 de mayo de 2018 .
  35. ^ "Variantes 3a y 4 de vulnerabilidad de canal lateral". US-CERT . 2018-05-21. Alerta (TA18-141A). Archivado desde el original el 2018-05-21 . Consultado el 2018-05-21 .
  36. ^ Vaughan-Nichols, Steven J. (13 de junio de 2018). «Otro día, otro agujero de seguridad en la CPU de Intel: Lazy State – Intel ha anunciado que hay otro fallo de seguridad en la CPU de sus microprocesadores basados ​​en Core». ZDNet . Archivado desde el original el 14 de junio de 2018. Consultado el 14 de junio de 2018 .
  37. ^ Armasu, Lucian (14 de junio de 2018). "Las CPU de Intel afectadas por otra falla de ejecución especulativa". Tom's Hardware . Archivado desde el original el 2 de septiembre de 2018. Consultado el 14 de junio de 2018 .
  38. ^ abc Windeck, Christof (14 de junio de 2018). «CPU-Bug Spectre-NG Nr. 3: Lazy FP State Restore». Heise Security (en alemán). Archivado desde el original el 14 de junio de 2018. Consultado el 14 de junio de 2018 .
  39. ^ ab Windeck, Christof (14 de junio de 2018). "Spectre-NG: Harte Kritik von OpenBSD-Entwickler Theo de Raadt". Seguridad de Heise (en alemán). Archivado desde el original el 14 de junio de 2018 . Consultado el 14 de junio de 2018 .
  40. ^ "Método de análisis de predicción de rama y canal lateral de predicción de rama de ejecución especulativa". Intel . 2018-07-10 [2018-01-03]. INTEL-OSS-10002. Archivado desde el original el 2018-07-14 . Consultado el 2018-07-15 .
  41. ^ "Análisis de los canales secundarios de ejecución especulativa" (PDF) (Libro blanco). Revisión 4.0. Intel . Julio de 2018. 336983-004 . Consultado el 15 de julio de 2018 .
  42. ^ Schmidt, Jürgen (11 de julio de 2018). "Spectre-NG: desbordamiento de búfer específico documentado por Intel'". Seguridad de Heise (en alemán). Archivado desde el original el 15 de julio de 2018 . Consultado el 15 de julio de 2018 .[3] Archivado el 24 de mayo de 2024 en Wayback Machine.
  43. ^ ab Kiriansky, Vladimir; Waldspurger, Carl (2018). "Desbordamientos de búfer especulativos: ataques y defensas". arXiv : 1807.03757v1 [cs.CR].
  44. ^ Maisuradze, Giorgi; Rossow, Christian (julio de 2018). «ret2spec: Speculative Execution Using Return Stack Buffers» (PDF) (versión preliminar para la edición ACM CCS 2018). Centro de Seguridad de TI, Privacidad y Responsabilidad (CISPA), Universidad de Saarland . Archivado (PDF) desde el original el 2018-08-01 . Consultado el 2018-08-01 .
  45. ^ Kiriansky, Vladimir; Waldspurger, Carl; Song, Chengyu; Abu-Ghazaleh, Nael (2018). "¡El regreso de Spectre! Ataques de especulación usando el búfer de pila de retorno". arXiv : 1807.07940 [cs.CR].
  46. ^ Windeck, Christof (24 de julio de 2018). "CPU-Lücken ret2spec und SpectreRSB entdeckt" (en alemán). Seguridad Heise . Archivado desde el original el 1 de agosto de 2018 . Consultado el 1 de agosto de 2018 .
  47. ^ Schwarz, Michael; Schwarzl, Martin; Lipp, Moritz; Gruss, Daniel (julio de 2018). "NetSpectre: Read Arbitrary Memory over Network" (PDF) . Universidad Tecnológica de Graz . Archivado (PDF) desde el original el 28 de julio de 2018 . Consultado el 28 de julio de 2018 .
  48. ^ Windeck, Christof (27 de julio de 2018). "NetSpectre encuentra RAM a través de Netzwerk aus" (en alemán). Seguridad Heise . Archivado desde el original el 28 de julio de 2018 . Consultado el 28 de julio de 2018 .
  49. ^ Cimpanu, Catalin (14 de noviembre de 2018). «Investigadores descubren siete nuevos ataques Meltdown y Spectre». ZDNet . Archivado desde el original el 16 de noviembre de 2018. Consultado el 17 de noviembre de 2018 .
  50. ^ "Soluciones de mitigación de ataques SWAPGS de Bitdefender". www.bitdefender.com . Archivado desde el original el 4 de marzo de 2020. Consultado el 7 de agosto de 2019 .
  51. ^ "Documentación/guía-de-administración/hw-vuln/spectre.rst - chromiumos/third_party/kernel - Git en Google". chromium.googlesource.com . Archivado desde el original el 2019-08-07 . Consultado el 2019-08-07 .
  52. ^ Winder, Davey (6 de agosto de 2019). «Microsoft confirma una nueva vulnerabilidad de ataque a la CPU de Windows y recomienda a todos los usuarios que actualicen ahora». Forbes . Archivado desde el original el 9 de agosto de 2019. Consultado el 7 de agosto de 2019 .
  53. ^ Fadiheh, Mohammad Rahmani; Müller, Johannes; Brinkmann, Raik; Mitra, Subhasish; Stoffel, Dominik; Kunz, Wolfgang (2020). "Un enfoque formal para detectar vulnerabilidades a ataques de ejecución transitoria en procesadores fuera de servicio". 2020 57.ª Conferencia de automatización de diseño (DAC) ACM/IEEE. IEEE. págs. 1–6. doi :10.1109/DAC18072.2020.9218572. ISBN 978-1-7281-1085-1. S2CID  222297495. Archivado desde el original el 14 de julio de 2023. Consultado el 5 de septiembre de 2023 a través de IEEE Xplore.
  54. ^ "Veo µops muertos: filtrando secretos a través de cachés de microoperaciones de Intel/AMD" (PDF) . cs.virginia.edu . Archivado desde el original (PDF) el 2021-05-04 . Consultado el 2021-05-05 .
  55. ^ Sun, Peng; Gabrielli, Giacomo; Jones, Timothy M. (junio de 2021). "Vectorización especulativa con repetición selectiva". 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA) . Valencia, España: IEEE. págs. 223–236. doi :10.1109/ISCA52012.2021.00026. ISBN 978-1-6654-3333-4. S2CID  235415645. Archivado desde el original el 26 de mayo de 2023. Consultado el 11 de marzo de 2023 .
  56. ^ ab Karuppanan, Sayinath; Mirbagher Ajorpaz, Samira (2 de febrero de 2023). "Un ataque a la vectorización especulativa: fugas de la especulación de dimensiones superiores". arXiv : 2302.01131 [cs.CR].
  57. ^ "Lectura de memoria privilegiada con un canal lateral". 2018. Archivado desde el original el 4 de enero de 2018.
  58. ^ "Mitigaciones para una nueva clase de ataque sincronizado". 2018. Archivado desde el original el 4 de enero de 2018.
  59. ^ abc "Canales secundarios de Spectre". kernel.org. Archivado desde el original el 18 de octubre de 2020. Consultado el 29 de septiembre de 2020 .
  60. ^ "Meltdown" (PDF) . 2018. Archivado (PDF) desde el original el 4 de enero de 2018.
  61. ^ "Informe técnico sobre el ataque Spectre" (PDF) . Archivado (PDF) del original el 2018-01-03 . Consultado el 2018-02-08 .
  62. ^ "Meltdown y Spectre-faq-systems-spectre". Universidad Tecnológica de Graz . 2018. Archivado desde el original el 2018-01-03 . Consultado el 2018-01-04 .
  63. ^ Busvine, Douglas; Nellis, Stephen (3 de enero de 2018). "Las fallas de seguridad ponen en riesgo prácticamente todos los teléfonos y computadoras". Reuters . Thomson-Reuters . Archivado desde el original el 3 de enero de 2018 . Consultado el 3 de enero de 2018 .
  64. ^ "Potencial impacto en los procesadores de la familia POWER". IBM . 2018. Archivado desde el original el 2018-04-03 . Consultado el 2018-01-10 .
  65. ^ "Intel responde a los hallazgos de la investigación de seguridad". Intel . 2018-01-03. Archivado desde el original el 2018-01-03 . Consultado el 2018-01-04 .
  66. ^ "Actualización sobre la seguridad de los procesadores AMD". Advanced Micro Devices . 2018. Archivado desde el original el 4 de enero de 2018 . Consultado el 4 de enero de 2018 .
  67. ^ Novet, Jordan (11 de enero de 2018). "Las acciones de AMD caen un 3 por ciento después de que la compañía dijera que sus chips se ven afectados por una falla de seguridad". CNBC . Archivado desde el original el 8 de abril de 2018. Consultado el 7 de abril de 2018 .
  68. ^ "Los chips AMD son vulnerables a ambas variantes de la falla de seguridad Spectre". Fortune . Archivado desde el original el 8 de abril de 2018 . Consultado el 7 de abril de 2018 .
  69. ^ "¿A quién afecta la falla de seguridad de un chip informático?". Archivado desde el original el 4 de enero de 2018. Consultado el 4 de enero de 2018 .
  70. ^ "Un fallo en el diseño del procesador Intel que provoca una pérdida de memoria en el núcleo obliga a rediseñar Linux y Windows". The Register . 2018-01-02. Archivado desde el original el 2018-04-07 . Consultado el 2018-01-09 .
  71. ^ "Meltdown y Spectre-faq-systems-spectre". Universidad Tecnológica de Graz . 2018. Archivado desde el original el 2018-01-03 . Consultado el 2018-01-04 .
  72. ^ Busvine, Douglas; Nellis, Stephen (3 de enero de 2018). "Las fallas de seguridad ponen en riesgo prácticamente todos los teléfonos y computadoras". Reuters . Thomson-Reuters . Archivado desde el original el 3 de abril de 2018 . Consultado el 3 de enero de 2018 .
  73. ^ "Vulnerabilidad actual de la CPU: lo que necesita saber". Archivado desde el original el 15 de marzo de 2018. Consultado el 9 de enero de 2018 .
  74. ^ "Actualización de seguridad del procesador Arm". ARM Developer . ARM Ltd. 2018-01-03. Archivado desde el original el 2018-04-04 . Consultado el 2018-01-05 .
  75. ^ "Acerca de las vulnerabilidades de ejecución especulativa en CPUs basadas en ARM e Intel". Soporte técnico de Apple . Archivado desde el original el 2018-07-17 . Consultado el 2018-07-17 .
  76. ^ Fox-Brewster, Thomas (3 de enero de 2018). "Se han descubierto vulnerabilidades masivas en Intel y es posible que todos los usuarios de PC del planeta deban actualizar sus sistemas". Forbes . Archivado desde el original el 3 de enero de 2018 . Consultado el 3 de enero de 2018 .
  77. ^ "Vulnerabilidades del canal lateral del microprocesador (CVE-2017-5715, CVE-2017-5753, CVE-2017-5754): impacto en los productos Dell". Dell . 2018-02-07. Archivado desde el original el 2018-01-27 . Consultado el 2018-02-11 .
  78. ^ "Vulnerabilidades de Meltdown y Spectre". Dell . 7 de febrero de 2018. Archivado desde el original el 5 de marzo de 2018. Consultado el 11 de febrero de 2018 .
  79. ^ ab Metz, Cade; Chen, Brian X. (4 de enero de 2018). "Lo que hay que hacer debido a los defectos en los chips informáticos". The New York Times . Archivado desde el original el 6 de enero de 2018. Consultado el 5 de enero de 2018 .
  80. ^ ab Pressman, Aaron (5 de enero de 2018). "Por qué su navegador web puede ser más vulnerable a Spectre y qué hacer al respecto". Fortune . Archivado desde el original el 10 de enero de 2018 . Consultado el 5 de enero de 2018 .
  81. ^ ab Chacos, Brad (4 de enero de 2018). "Cómo proteger su PC de las principales fallas de CPU Meltdown y Spectre". PC World . Archivado desde el original el 4 de enero de 2018. Consultado el 4 de enero de 2018 .
  82. ^ ab Elliot, Matt (4 de enero de 2018). "Seguridad: cómo proteger su PC contra la falla del chip Intel: estos son los pasos que debe seguir para mantener su computadora portátil o PC con Windows a salvo de Meltdown y Spectre". CNET . Archivado desde el original el 4 de enero de 2018. Consultado el 4 de enero de 2018 .
  83. ^ ab Hachman, Mark (9 de enero de 2018). "Las pruebas de Microsoft muestran que los parches de Spectre reducen el rendimiento en PCs antiguas". PC World . Archivado desde el original el 9 de febrero de 2018. Consultado el 9 de enero de 2018 .
  84. ^ "El pánico por los chips informáticos: lo que hay que saber". BBC News . 4 de enero de 2018. Archivado desde el original el 11 de octubre de 2020. Consultado el 4 de enero de 2018 .
  85. ^ "Intel dice que el error del procesador no es exclusivo de sus chips y que los problemas de rendimiento dependen de la carga de trabajo". The Verge . Archivado desde el original el 2018-01-03 . Consultado el 2018-01-04 .
  86. ^ Larabel, Michael (24 de mayo de 2019). "Evaluación comparativa de CPU AMD FX vs. Intel Sandy/Ivy Bridge después de Spectre, Meltdown, L1TF y Zombieload". Phoronix . Archivado desde el original el 1 de junio de 2019 . Consultado el 25 de mayo de 2019 .
  87. ^ Hoffman, Chris (4 de enero de 2018). "¿Cómo afectarán las fallas de Meltdown y Spectre a mi PC?". How-To Geek . Archivado desde el original el 20 de enero de 2018. Consultado el 6 de enero de 2018 .
  88. ^ ab Mirbagher-Ajorpaz, Samira; Pokam, Gilles; Mohammadian-Koruyeh, Esmaeil; Garza, Elba; Abu-Ghazaleh, Nael; Jimenez, Daniel A. (1 de octubre de 2020). "PerSpectron: detección de huellas invariantes de ataques microarquitectónicos con Perceptron". 2020 53.° Simposio internacional anual IEEE/ACM sobre microarquitectura (MICRO) . Atenas, Grecia: IEEE. págs. 1124–1137. doi :10.1109/MICRO50266.2020.00093. ISBN . 978-1-7281-7383-2. S2CID  222334633. Archivado desde el original el 10 de diciembre de 2022. Consultado el 13 de marzo de 2023 .
  89. ^ ab Mirbagher Ajorpaz, Samira; Moghimi, Daniel; Collins, Jeffrey Neal; Pokam, Gilles; Abu-Ghazaleh, Nael; Tullsen, Dean (1 de octubre de 2022). "EVAX: hacia una arquitectura práctica, proactiva y adaptativa para un alto rendimiento y seguridad". 2022 55.º Simposio internacional IEEE/ACM sobre microarquitectura (MICRO) . Chicago, IL, EE. UU.: IEEE. págs. 1218–1236. doi :10.1109/MICRO56248.2022.00085. ISBN . 978-1-6654-6272-3. S2CID  253123810. Archivado desde el original el 7 de noviembre de 2022. Consultado el 13 de marzo de 2023 .
  90. ^ "Análisis de Intel de los canales laterales de ejecución especulativa" (PDF) (Libro blanco). Revisión 1.0. Intel . Enero de 2018. p. 5. Archivado (PDF) desde el original el 2018-05-01 . Consultado el 2018-01-11 . La segunda técnica introduce el concepto de "trampolín de retorno", también conocido como "retpoline"
  91. ^ "Más detalles sobre las soluciones para el problema de ejecución especulativa de la CPU". Archivado desde el original el 5 de enero de 2018.
  92. ^ "Google dice que los parches de CPU causan un 'impacto insignificante en el rendimiento' con la nueva técnica 'Retpoline'". tech.slashdot.org . 2018-01-04. Archivado desde el original el 2018-04-08 . Consultado el 2018-01-05 .
  93. ^ Turner, Paul. "Retpoline: una construcción de software para prevenir la inyección de ramificaciones en el destino – Ayuda de Google". support.google.com . Archivado desde el original el 5 de enero de 2018.
  94. ^ Hachman, Mark (25 de enero de 2018). "El plan de Intel para solucionar el problema de Meltdown en el silicio plantea más preguntas que respuestas. Pero, ¿qué silicio? Asegúrese de leer las preguntas que Wall Street debería haber hecho". PC World . Archivado desde el original el 12 de marzo de 2018 . Consultado el 26 de enero de 2018 .
  95. ^ Fingas, Jon (18 de octubre de 2018). "MIT encuentra una forma más inteligente de combatir los ataques a la CPU al estilo Spectre: DAWG ofrece más seguridad sin afectar gravemente al rendimiento". engadget.com . Archivado desde el original el 19 de octubre de 2018. Consultado el 18 de octubre de 2018 .
  96. ^ Taram, Mohammadkazem (16 de abril de 2019). «Context-Sensitive Fencing: Securing Speculative Execution via Microcode Customization» (PDF) . Archivado (PDF) desde el original el 24 de mayo de 2024. Consultado el 21 de julio de 2019 .
  97. ^ Torvalds, Linus (21 de enero de 2018). "Re: [RFC 09/10] x86/enter: Crear macros para restringir/liberar la especulación indirecta sobre ramificaciones". linux-kernel (Lista de correo). Archivado desde el original el 12 de diciembre de 2018. Consultado el 22 de mayo de 2018 a través de marc.info .
  98. ^ Serie de parches IBRS Archivado el 19 de enero de 2018 en Wayback Machine , Intel , 4 de enero de 2018.
  99. ^ ab Tung, Liam (18 de enero de 2018). "Meltdown-Spectre: Intel dice que los chips más nuevos también sufren reinicios no deseados después del parche: la corrección del firmware de Intel para Spectre también está causando reinicios más frecuentes en las CPU Kaby Lake y Skylake". ZDNet . Archivado desde el original el 20 de enero de 2018 . Consultado el 18 de enero de 2018 .
  100. ^ Claburn, Thomas; Hall, Kat (22 de enero de 2018). "'¿QUÉ COÑO ESTÁ PASANDO?' Linus Torvalds explota contra Intel por presentar la solución Spectre como una característica de seguridad". The Register . Archivado desde el original el 22 de julio de 2023. Consultado el 22 de julio de 2023 .
  101. ^ Molnar sugiere usar seguimiento de funciones Archivado el 25 de enero de 2018 en Wayback Machine , Re: [RFC 09/10] x86/enter: Crear macros para restringir/liberar la especulación de ramas indirectas Archivado el 24 de enero de 2018 en Wayback Machine , Ingo Molnar, 23 de enero de 2018.
  102. ^ "Linux 4.15". KernelNewbies . Archivado desde el original el 2020-07-17 . Consultado el 2020-07-09 .
  103. ^ Cimnpanu, Catalin (2019-03-02). "Microsoft implementa la mitigación de Retpoline Spectre de Google para los usuarios de Windows 10: KB4482887, publicado hoy, habilita la mitigación de Retpoline de Google en el kernel de Windows 10 (solo para usuarios de v1809)". ZDNet . Archivado desde el original el 2019-03-02 . Consultado el 2019-03-02 .
  104. ^ A veces se escribe mal como "RSRE"
  105. ^ ab "Actualización del canal lateral de ejecución especulativa del segundo trimestre de 2018". Intel . 2018-06-25 [2018-05-21]. INTEL-SA-00115. Archivado desde el original el 2018-07-15 . Consultado el 2018-07-15 .
  106. ^ "Mitigaciones de Google contra los métodos de ataque de ejecución especulativa de CPU". support.google.com . Archivado desde el original el 2018-01-03 . Consultado el 2018-01-04 .
  107. ^ "Mitigaciones para una nueva clase de ataque de sincronización". Blog de seguridad de Mozilla . 2018-01-03. Archivado desde el original el 2018-01-04 . Consultado el 2018-01-04 .
  108. ^ "Mitigaciones de Spectre en MSVC". Blog del equipo de C++ . 2018-01-16. Archivado desde el original el 2024-05-24 . Consultado el 2021-01-18 .
  109. ^ "Advertencia TFV-6 (CVE-2017-5753, CVE-2017-5715, CVE-2017-5754)". Documentación de Trusted Firmware-A 2.10.0 . 2018-06-07. Archivado desde el original el 2024-01-23 . Consultado el 2024-01-23 .

Lectura adicional

Enlaces externos