stringtranslate.com

Óvalo de la prisión

Prison Oval es un estadio de usos múltiples en Spanish Town , Jamaica , actualmente utilizado principalmente para partidos de fútbol . Sirve como sede del Dunbeholden FC y del Rivoli United FC . El estadio tiene capacidad para 2.000 personas.

El nombre de 'Prisión Oval' se atribuye a que se encuentra en la misma propiedad que la prisión de máxima seguridad de Saint Catherine . Algunos presos pueden ver partidos y otros eventos desde sus celdas.

Aparece en la canción de Barrington Levy "Prison Oval Rock". [2]

enlaces externos

Referencias

  1. ^ Dimensiones obtenidas mediante la herramienta de medición Wikimapia .
  2. ^ Escuche Prison Oval Rock, Barrington Levy, Last FM/YouTube, 2 de mayo de 2008.