stringtranslate.com

Power PC 600

La familia PowerPC 600 fue la primera familia de procesadores PowerPC construida. Fueron diseñados en las instalaciones de Somerset en Austin, Texas , financiados y atendidos conjuntamente por ingenieros de IBM y Motorola como parte de la alianza AIM . Somerset se inauguró en 1992 y su objetivo era fabricar el primer procesador PowerPC y luego seguir diseñando procesadores PowerPC de uso general para computadoras personales . La primera encarnación se convirtió en el PowerPC 601 en 1993, y pronto siguió la segunda generación con el PowerPC 603, el PowerPC 604 y el PowerPC 620 de 64 bits.

Familia nuclear

Power PC 601

El prototipo PowerPC 601 alcanzó el primer silicio en octubre de 1992.

El PowerPC 601 fue la primera generación de microprocesadores que admitía el conjunto de instrucciones básico PowerPC de 32 bits . El esfuerzo de diseño comenzó en serio a mediados de 1991 y los primeros prototipos de chips estuvieron disponibles en octubre de 1992. Los primeros procesadores 601 se introdujeron en una estación de trabajo IBM RS/6000 en octubre de 1993 (junto con su primo multichip más potente, la línea de procesadores IBM POWER2 ). y los primeros Apple Power Macintosh el 14 de marzo de 1994. El 601 fue la primera implementación avanzada de un solo chip de la arquitectura POWER/PowerPC diseñada según un cronograma de emergencia para establecer PowerPC en el mercado y consolidar la alianza AIM. Para lograr un cronograma extremadamente agresivo e incluir funcionalidades sustancialmente nuevas (como mejoras sustanciales de rendimiento, nuevas instrucciones y, lo que es más importante, la primera implementación de multiprocesamiento simétrico (SMP) de POWER/PowerPC), el diseño aprovechó una serie de tecnologías clave y estrategias de gestión de proyectos. El equipo 601 aprovechó gran parte de la estructura básica y partes del procesador IBM RISC Single Chip (RSC), [1] pero también incluyó soporte para la gran mayoría de las nuevas instrucciones PowerPC que no están en el conjunto de instrucciones POWER . Si bien se modificó casi cada parte del diseño de RSC, y muchos bloques de diseño se modificaron sustancialmente o se rediseñaron por completo dada la estructura de bus de E/S unificada completamente diferente y el soporte de coherencia de memoria /SMP . Los nuevos cambios de PowerPC, aprovechar la estructura básica de RSC, fueron muy beneficiosos para reducir la incertidumbre en el área/planificación del chip y el análisis/ajuste de tiempos. Vale la pena señalar que el 601 no solo implementó nuevas funciones clave sustanciales como SMP, sino que también actuó como un puente entre POWER y los futuros procesadores PowerPC para ayudar a IBM y a los desarrolladores de software en sus transiciones a PowerPC. Desde el inicio del diseño hasta la grabación del primer prototipo 601, solo transcurrieron 12 meses para esforzarnos por establecer PowerPC en el mercado en una etapa temprana.

autobús 60x

Para ayudar en el esfuerzo de incorporar rápidamente la arquitectura del bus 88110 al 601 en beneficio de la alianza y sus clientes, la gerencia de Motorola proporcionó no solo las especificaciones de la arquitectura del bus 88110, sino también un puñado de diseñadores con conocimientos de bus 88110 para ayudar con Implementación y verificación de la lógica del bus 60x. Dado que el equipo de diseño del sistema Apple estaba familiarizado con la estructura del bus de E/S del 88110 de Motorola y que esta implementación del bus de E/S estaba bien definida y documentada, el equipo 601 adoptó la tecnología de bus para mejorar el tiempo de comercialización. El bus pasó a llamarse bus 60x una vez implementado en el 601. [2] Estos diseñadores de Motorola (y un pequeño número de Apple) se unieron a más de 120 diseñadores de IBM para crear el 601.

El uso del autobús 88110 como base para el autobús 60x ayudó a programar los horarios de varias maneras. Ayudó al equipo de Apple Power Macintosh al reducir la cantidad de rediseño de sus ASIC de soporte y redujo la cantidad de tiempo requerido por los diseñadores y arquitectos del procesador para proponer, documentar, negociar y cerrar una nueva interfaz de bus (evitando con éxito el "Bus Wars" esperadas por el equipo directivo de 601 si no se hubieran adoptado el autobús 88110 o los anteriores autobuses RSC). Vale la pena señalar que aceptar el bus 88110 en beneficio de los esfuerzos de Apple y la alianza fue a expensas de los esfuerzos del primer equipo de diseño del sistema IBM RS/6000, que ya tenía sus ASIC de soporte implementados alrededor de la estructura de bus totalmente diferente del RSC.

Este bus 60x se convirtió más tarde en una interfaz básica de bastante larga duración para las numerosas variantes de los procesadores 601, 603, 604, G3 , G4 y Motorola/Freescale PowerQUICC .

Un PowerPC 601 de 80MHz

Diseño

El chip fue diseñado para adaptarse a una amplia variedad de aplicaciones y tenía soporte para caché L2 externa y multiprocesamiento simétrico . Tenía cuatro unidades funcionales, incluida una unidad de punto flotante , una unidad de números enteros , una unidad de rama y una unidad de secuenciador. El procesador también incluía una unidad de gestión de memoria . La canalización de números enteros tenía cuatro etapas de largo, la canalización de rama dos etapas de largo, la canalización de memoria cinco etapas de largo y la canalización de punto flotante seis etapas de largo.

Lanzado por primera vez en los sistemas IBM en el otoño de 1993, IBM lo comercializó como PPC601 y Motorola como MPC601. Funcionó a velocidades que oscilaban entre 50 y 80 MHz. Fue fabricado utilizando un proceso CMOS de 0,6 μm con cuatro niveles de interconexión de aluminio . La matriz tenía un tamaño de 121 mm 2 y contenía 2,8 millones de transistores. El 601 tiene una caché L1 unificada de 32 KB , una capacidad que se consideraba grande en su momento para una caché en chip. Gracias en parte a la gran memoria caché, se consideró un procesador de alto rendimiento en su segmento, superando al Intel Pentium de la competencia . El PowerPC 601 se utilizó en las primeras computadoras Power Macintosh de Apple y en una variedad de estaciones de trabajo RS/6000 y servidores SMP de IBM y Groupe Bull .

IBM era el único fabricante de los microprocesadores 601 y 601+ en sus instalaciones de producción de Burlington, Vermont y East Fishkill, Nueva York . El 601 utilizó el proceso IBM CMOS-4s y el 601+ utilizó el proceso IBM CMOS-5x. Una cantidad extremadamente pequeña de estos procesadores 601 y 601+ fueron reetiquetados con logotipos y números de pieza de Motorola y distribuidos a través de Motorola. Estos hechos están algo oscurecidos dado que hay varias imágenes del "Motorola MPC601", particularmente un caso específico de marketing magistral de Motorola donde el 601 fue nombrado uno de los "Productos del año" de 1994 de la revista Time con una marca de Motorola .

PowerPC 601v

Un PowerPC 601v de 90 MHz fabricado por IBM. Observe el dado un poco más pequeño.

En 1994 se introdujo una versión actualizada, el PowerPC 601v o PowerPC 601+ , que funciona entre 90 y 120 MHz. Se fabricó en un proceso CMOS más nuevo de 0,5 μm con cuatro niveles de interconexión, lo que dio como resultado una matriz que mide 74 mm 2 . El diseño 601+ fue reasignado de CMOS-4 a CMOS-5x por un equipo exclusivo de IBM. Para evitar retrasos en el tiempo de comercialización debido a cambios en las herramientas de diseño y la comúnización de reglas básicas, tanto el 601 como el 601+ se diseñaron con herramientas IBM EDA en sistemas IBM y se fabricaron en instalaciones exclusivas de IBM. [3] [4] [5] [6]

Power PC 603

Un Motorola PowerPC 603 de 100 MHz en un paquete plano cuádruple con conexión de cables

El PowerPC 603 fue el primer procesador que implementó la arquitectura PowerPC completa de 32 bits según lo especificado. Introducido en 1994, era un diseño avanzado para su época, siendo uno de los primeros microprocesadores en ofrecer doble emisión (hasta tres con plegado de ramas) y ejecución fuera de orden combinado con un bajo consumo de energía de 2,2 W y un troquel pequeño. de 85 mm 2 . [7] [8] [9] [10] Fue diseñado para ser un procesador de bajo costo y bajo consumo de energía para aplicaciones portátiles. Una de las características principales eran las funciones de ahorro de energía (modo de sueño, siesta y modo de suspensión) que podían reducir drásticamente los requisitos de energía, consumiendo solo 2 mW en el modo de suspensión. El 603 tiene una canalización de cuatro etapas y cinco unidades de ejecución: unidad de entero, unidad de punto flotante, unidad de predicción de rama , unidad de carga/almacenamiento y una unidad de registro del sistema. Tiene cachés L1 de 8 KB separados para instrucciones y datos y un bus de memoria 60x de 32/64 bits, que alcanza hasta 120 MHz a 3,8 V. [10] El núcleo 603 no tenía soporte de hardware para SMP .

Un Motorola PowerPC 603 de 200 MHz en un empaque de cerámica Ball Grid Array

El PowerPC 603 tenía 1,6 millones de transistores y fue fabricado por IBM y Motorola en un proceso CMOS de 0,5 μm con cuatro niveles de interconexión. La matriz era de 85 mm 2 de gran tamaño y consumía 2,2 W a 80 MHz. [10] [11] La arquitectura 603 es el antepasado directo de la arquitectura PowerPC 750 , comercializada por Apple como PowerPC "G3".

El 603 estaba destinado a ser utilizado en computadoras portátiles Apple Macintosh , pero no podía ejecutar software de emulación de 68K con el rendimiento que Apple consideraba adecuado, debido a los cachés del procesador más pequeños. Como resultado, Apple decidió utilizar únicamente el 603 en su línea Performa de escritorio de bajo costo. [12] [13] Esto provocó el retraso del Apple PowerBook 5300 y PowerBook Duo 2300 , ya que Apple decidió esperar una revisión del procesador. El uso por parte de Apple del 603 en la línea Performa 5200 hizo que el procesador obtuviera una mala reputación. Aparte del problema del rendimiento de la emulación de 68K, las máquinas Performa se enviaron con una variedad de defectos de diseño, algunos de ellos graves, relacionados con otros aspectos del diseño de las computadoras, incluido el rendimiento y la estabilidad de la red, problemas de bus (ancho, velocidad, contención, y complejidad), errores de ROM y rendimiento del disco duro. [14] [15] Ninguno de los problemas de la línea 5200, aparte del rendimiento de la emulación de 68K, se debió inherentemente al 603. Más bien, el procesador fue adaptado para usarse con placas base de 68K y otras piezas obsoletas. [16] El sitio Low End Mac califica al Performa 5200 como el peor Mac de todos los tiempos. [17] El 603 encontró un uso generalizado en diferentes aparatos integrados. [ cita necesaria ]

PowerPC 603e y 603ev

IBM PPC603ev, 200MHz

Los problemas de rendimiento del 603 se abordaron en el PowerPC 603e . La caché L1 se amplió y mejoró a cachés de instrucciones y datos asociativos de conjuntos de cuatro vías de 16 KB. La velocidad de reloj de los procesadores también se duplicó, alcanzando los 200 MHz. Reducir el proceso de fabricación a 350 nm permitió velocidades de hasta 300 MHz. Esta pieza a veces se denomina PowerPC 603ev . El 603e y el 603ev tienen 2,6 millones de transistores cada uno y miden 98 mm 2 y 78 mm 2 respectivamente. El 603ev consume un máximo de 6 W a 300 MHz. [18] [19]

El PowerPC 603e fue el primer procesador de escritorio convencional en alcanzar los 300 MHz, como se usa en el Power Macintosh 6500 . El 603e también se utilizó en tarjetas aceleradoras de Phase5 para la línea de computadoras Amiga , con CPU que oscilaban en velocidades de 160 a 240 MHz. El PowerPC 603e todavía lo venden hoy IBM y Freescale, y otros como Atmel y Honeywell , que fabrican la variante RHPPC endurecida por radiación . El PowerPC 603e también fue el corazón del BeBox de Be Inc. El BeBox destaca porque es un sistema multiprocesamiento , algo para lo que no fue diseñado el 603. IBM también utilizó procesadores PowerPC 603e en la serie IBM ThinkPad 800 . En determinadas series de osciloscopios digitales, LeCroy utilizó el PowerPC 603e como procesador principal. [20] [21] Los procesadores 603e también alimentan los 66 satélites de la flota de teléfonos satelitales Iridium . Cada uno de los satélites contiene siete procesadores Motorola/Freescale PowerPC 603e que funcionan a aproximadamente 200 MHz cada uno. También se utiliza un procesador 603e personalizado en el Mark 54 Lightweight Torpedo .

G2

El núcleo PowerPC 603e, rebautizado como G2 por Freescale , es la base de muchos procesadores PowerQUICC II integrados y, como tal, sigue desarrollándose. Los procesadores SoC PowerQUICC II de Freescale llevan la designación MPC82xx y vienen en una variedad de configuraciones que alcanzan los 450 MHz. El nombre G2 también se utiliza como retrónimo de los procesadores 603e y 604 para alinearse con el G3, G4 y G5.

e300

Freescale ha mejorado el núcleo 603e, llamándolo e300 , en los procesadores integrados PowerQUICC II Pro . Se agregaron cachés L1 más grandes de 32/32 KB y otras medidas para mejorar el rendimiento. Los procesadores PowerQUICC II Pro SoC de Freescale llevan la designación MPC83xx y vienen en una variedad de configuraciones que alcanzan velocidades de hasta 667 MHz. El e300 es también el núcleo del procesador SoC MPC5200B que se utiliza en la pequeña computadora EFIKA .

Power PC 604

Un Motorola PowerPC 604e de 233 MHz montado en una tarjeta procesadora Phase5 CyberstormPPC para las computadoras de la serie Commodore Amiga 4000

El PowerPC 604 se introdujo en diciembre de 1994 junto con el 603 y fue diseñado como un chip de alto rendimiento para estaciones de trabajo y servidores de nivel básico y, como tal, admitía multiprocesamiento simétrico en hardware. El 604 se utilizó ampliamente en los sistemas de gama alta de Apple y también en clones de Macintosh , en los servidores y estaciones de trabajo RS/6000 de gama baja de IBM , en placas aceleradoras Amiga y como CPU integrada para aplicaciones de telecomunicaciones.

El 604 es un procesador superescalar capaz de emitir cuatro instrucciones simultáneamente. El 604 tiene un proceso de seis etapas y seis unidades de ejecución que pueden trabajar en paralelo, finalizando hasta seis instrucciones en cada ciclo. Dos unidades enteras simples y una compleja , una unidad de punto flotante , una unidad de procesamiento de rama que gestiona la ejecución fuera de orden y una unidad de carga/almacenamiento. Tiene cachés L1 de instrucciones y datos separados de 16 KB. La interfaz externa es un bus 60x de 32 o 64 bits que funciona a velocidades de reloj de hasta 50 MHz.

El PowerPC 604 contiene 3,6 millones de transistores y fue fabricado por IBM y Motorola con un proceso CMOS de 0,5 μm con cuatro niveles de interconexión. La matriz medía 12,4 mm por 15,8 mm (196 mm 2 ) y consumía entre 14 y 17 W a 133 MHz. Funcionó a velocidades entre 100 y 180 MHz. [22] [23] [24]

Power PC 604e

Un procesador IBM PowerPC 604e de 200 MHz en el módulo de CPU de un Apple Network Server 700

El PowerPC 604e se presentó en julio de 1996 y agregó una unidad de registro de condición y cachés L1 de instrucciones y datos separados de 32 KB, entre otros cambios en su subsistema de memoria y unidad de predicción de rama, lo que resultó en un aumento de rendimiento del 25% en comparación con su predecesor. Tenía 5,1 millones de transistores y fue fabricado por IBM y Motorola en un proceso CMOS de 0,35 μm con cinco niveles de interconexión. La matriz tenía un tamaño de 148 mm 2 o 96 mm 2 , fabricada por Motorola e IBM respectivamente, y consumía entre 16 y 18 W a 233 MHz. Funcionó a velocidades entre 166 y 233 MHz y admitía un bus de memoria de hasta 66 MHz. [25] [26]

PowerPC 604ev "Mach5"

El PowerPC 604ev , 604r o "Mach 5" se introdujo en agosto de 1997 y era esencialmente un 604e fabricado por IBM y Motorola con un proceso más nuevo, alcanzando velocidades más altas con un menor consumo de energía. La matriz era pequeña de 47 mm 2 , fabricada con un proceso CMOS de 0,25 μm con cinco niveles de interconexión y consumía 6 W a 250 MHz. Funcionó a velocidades entre 250 y 400 MHz y admitía un bus de memoria de hasta 100 MHz.

Mientras que Apple abandonó el 604ev en 1998 en favor del PowerPC 750 , IBM siguió usándolo en modelos básicos de sus computadoras RS/6000 durante varios años.

Power PC 620

El PowerPC 620 fue la primera implementación de toda la arquitectura PowerPC de 64 bits . Era un PowerPC de segunda generación junto con el 603 y el 604, pero orientado al mercado de servidores y estaciones de trabajo de alta gama. Era potente sobre el papel e inicialmente se suponía que se lanzaría junto con sus hermanos, pero se retrasó hasta 1997. Cuando llegó, el rendimiento fue comparativamente pobre y el 604e, considerablemente más barato, lo superó. [27] Por lo tanto, el 620 nunca se produjo en grandes cantidades y encontró muy poco uso. El único usuario del PowerPC 620 fue Groupe Bull en sus máquinas Escala UNIX , pero no entregaron grandes cantidades. IBM, que pretendía utilizarlo en estaciones de trabajo y servidores, decidió esperar a los aún más potentes procesadores RS64 y POWER3 de 64 bits.

El 620 fue producido por Motorola en un proceso de 0,5 μm. Tenía 6,9 millones de transistores y el troquel tenía una superficie de 311 mm 2 . Funcionó a velocidades de reloj entre 120 y 150 MHz y consumió 30 W a 133 MHz. Se construyó un modelo posterior utilizando un proceso de 0,35 μm, lo que le permitió alcanzar los 200 MHz. [ Se necesita más explicación ]

El 620 era similar al 604. Tiene un proceso de cinco etapas, el mismo soporte para multiprocesamiento simétrico y la misma cantidad de unidades de ejecución; una unidad de carga/almacenamiento, una unidad de sucursal, una FPU y tres unidades enteras. Con cachés de instrucciones y datos más grandes de 32 KB, soporte para un caché L2 que puede tener una capacidad de 128  MB y unidades de sucursal y carga/almacenamiento más potentes que tenían más buffers, el 620 era muy poderoso. La tabla de historial de sucursales también era más grande y podía enviar más instrucciones para que el procesador pudiera manejar la ejecución fuera de orden de manera más eficiente que el 604. La unidad de punto flotante también se mejoró en comparación con el 604. Con un ciclo de recuperación y soporte más rápidos. porque varias instrucciones clave en hardware (como sqrt) lo hicieron, combinado con buses de datos más rápidos y más amplios, más eficiente que la FPU en el 604. [ se necesita más explicación ]

Autobuses 6XX y GX

El bus del sistema era un bus de memoria de 128 bits más amplio y rápido llamado bus 6XX . Fue diseñado para ser un bus de sistema para sistemas multiprocesador donde se conectarían procesadores, cachés, memoria y E/S, asistido por un chip de control del sistema. Admite procesadores PowerPC de 32 y 64 bits, direcciones de memoria superiores a 32 bits y entornos NUMA . También se utilizó en POWER3, RS64 y 601, así como en sistemas RS/6000 basados ​​en 604 (con un chip puente). [28] El bus evolucionó posteriormente hasta convertirse en el bus GX del POWER4 , y más tarde en GX+ y GX++ en POWER5 y POWER6 respectivamente. El bus GX también se utiliza en los mainframes System z z10 y z196 de IBM .

Familia extendida

Power PC 602

El PowerPC 602 era una versión simplificada del PowerPC 603, fabricado especialmente para consolas de juegos por Motorola e IBM, presentado en febrero de 1995. [29] Tiene cachés L1 más pequeños (4 KB de instrucciones y 4 KB de datos), una precisión simple unidad de punto flotante [29] y una unidad de predicción de rama reducida. Se ofrecía a velocidades que oscilaban entre 50 y 80 MHz y consumía 1,2 W a 66 MHz. Constaba de 1 millón de transistores y tenía un tamaño de 50 mm 2 fabricados en un proceso CMOS de 0,5 μm con cuatro niveles de interconexión. [30]

3DO desarrolló la consola de juegos M2 que usaba dos PowerPC 602, [29] [31] pero nunca se comercializó.

Power PC 603q

El 21 de octubre de 1996, la empresa de semiconductores sin fábrica Quantum Effect Devices (QED) anunció un procesador compatible con PowerPC 603 llamado " PowerPC 603q " en el Foro de Microprocesadores . A pesar de su nombre, no tenía nada en común con ningún otro 603. Fue una implementación desde cero de la arquitectura PowerPC de 32 bits dirigida al mercado integrado de alta gama desarrollada durante dos años. Como tal, era pequeño, simple, energéticamente eficiente, pero poderoso; igualando al 603e más caro y consumiendo menos energía. Tenía una tubería en orden de cinco etapas con una sola unidad entera, una unidad de punto flotante (FPU) de doble precisión y cachés de datos de 8 KB y instrucciones separadas de 16 KB. Si bien la unidad entera era un diseño completamente nuevo, la FPU se derivó del R4600 para ahorrar tiempo. Tenía un tamaño de 69 mm 2 mediante un proceso de fabricación de 0,5 μm y consumía solo 1,2 W a 120 MHz. [32] [33]

El 603q fue diseñado para Motorola, pero se retiraron del contrato antes de que el 603q entrara en plena producción. Como resultado, el 603q fue cancelado ya que QED no podía seguir comercializando el procesador porque carecían de una licencia PowerPC propia.

Power PC 613

"PowerPC 613" parece ser el nombre que Motorola le había dado al PowerPC de tercera generación. [34] [35] [36] Supuestamente pasó a llamarse " PowerPC 750 " en respuesta al procesador x704 de Exponential Technology que fue diseñado para superar al 604 por un amplio margen. Sin embargo, apenas hay fuentes que confirmen esto y podría ser pura especulación o una referencia a un procesador completamente diferente.

Power PC 614

Similar al PowerPC 613, el "PowerPC 614" podría haber sido un nombre dado por Motorola a un PowerPC de tercera generación, [34] [36] y luego renombrado por la misma razón que 613. Se ha sugerido que la pieza pasó a llamarse " PowerPC 7400 ", y Motorola incluso lo pasó al PowerPC de cuarta generación a pesar de que las diferencias arquitectónicas entre "G3" y "G4" eran pequeñas. Sin embargo, apenas hay fuentes que confirmen esto y podría ser pura especulación o una referencia a un procesador completamente diferente.

Power PC 615

El " PowerPC 615 " es un procesador PowerPC anunciado por IBM en 1994, pero que nunca llegó a producirse en masa . Su característica principal era incorporar un núcleo x86 en el troquel, lo que hacía que el procesador fuera capaz de procesar de forma nativa instrucciones tanto PowerPC como x86. [37] Un sistema operativo que se ejecuta en PowerPC 615 podría optar por ejecutar instrucciones PowerPC de 32 o 64 bits, instrucciones x86 de 32 bits o una combinación de tres. Mezclar instrucciones implicaría un cambio de contexto en la CPU con una pequeña sobrecarga. Los únicos sistemas operativos que soportaban el 615 eran Minix y una versión de desarrollo especial de OS/2 . [38]

Tenía un tamaño de 330 mm 2 y estaba fabricado por IBM con un proceso de 0,35 μm. Era compatible con pines con los procesadores Pentium de Intel y comparable en velocidad. El procesador se presentó sólo como un prototipo y el programa fue eliminado en parte por el hecho de que Microsoft nunca dio soporte al procesador. Los ingenieros que trabajaron en el PowerPC 615 llegarían más tarde a Transmeta , donde trabajaron en el procesador Crusoe . Dado que se han demostrado avances en el desarrollo de software de traducción dinámica, como la tecnología FX!32 de Digital , se expresó escepticismo acerca de dedicar recursos de hardware a ejecutar binarios extranjeros cuando dichos recursos podrían usarse para mejorar el rendimiento nativo, lo que también beneficia el rendimiento de binarios traducidos. [39] :  94

Power PC 625

"PowerPC 625" fue el primer nombre de los procesadores PowerPC de 64 bits de la serie Apache, diseñados por IBM basándose en el conjunto de instrucciones PowerPC-AS de "Amazon". Posteriormente pasaron a llamarse " RS64 ". La denominación "PowerPC 625" nunca se utilizó para los procesadores finales.

Power PC 630

"PowerPC 630" fue el primer nombre del procesador PowerPC de 64 bits de gama alta, diseñado por IBM para unificar los conjuntos de instrucciones POWER y PowerPC . Posteriormente pasó a llamarse " POWER3 ", probablemente para distinguirlo de los procesadores "PowerPC" más orientados al consumidor utilizados por Apple .

Power PC 641

"PowerPC 641" , nombre en clave Habanero , es un proyecto PowerPC desaparecido de IBM en el período 1994-1996. Se ha sugerido que era el PowerPC de tercera generación basado en el procesador 604. [40] [41]

Ver también

Referencias

  1. ^ Stokes, Jon (3 de agosto de 2004). "PowerPC en Apple: una historia arquitectónica, parte I (página 2, "PowerPC 601")". Ars Técnica .
  2. ^ "La interfaz de bus para microprocesadores de 32 bits" (PDF) . Motorola . 1997.
  3. ^ Allen, M.; Becker, M (febrero de 1993). Aspectos de multiprocesamiento del microprocesador PowerPC 601 . Compcon. págs. 117-126.
  4. ^ Becker, Michael K.; et al. (Septiembre de 1993). "El microprocesador PowerPC 601". Micro IEEE . 13 (5): 54–68. doi : 10.1109/40.238002. S2CID  26895845.
  5. ^ Moore, CR (febrero de 1993). El microprocesador PowerPC 601 . Compcon. págs. 109-116.
  6. ^ "Microprocesador PowerPC 601". Archivado desde el original el 7 de febrero de 2009.
  7. ^ Pham et al., "Un microprocesador RISC superescalar 3.0 W 75 SPECint92 85 SPECfp92", ISSC Digest Of Technical Papers , págs. 212-213, febrero de 1994.
  8. ^ Burgess et al., "El microprocesador PowerPC 603: un microprocesador RISC superescalar, de alto rendimiento y bajo consumo", Actas de COMPCON '94 , febrero de 1994.
  9. ^ Gary et al., "El microprocesador PowerPC 603: un diseño de bajo consumo para aplicaciones portátiles", Actas de COMPCON '94 , febrero de 1994.
  10. ^ abc Gerosa et al., "Un microprocesador RISC superescalar de 2,2 W y 80 MHz", IEEE Journal of Solid-State Circuits , vol. 29, págs. 1440-1454, diciembre de 1994.
  11. ^ James Kahle; Deene Ogden. "Microprocesador PowerPC 603". IBM. Archivado desde el original el 6 de agosto de 1997.
  12. ^ Linley Gwennap (27 de febrero de 1997). "Arthur revitaliza la línea PowerPC" (PDF) . Informe del microprocesador . vol. 11, núm. 2. S2CID  51808955. Archivado desde el original (PDF) el 30 de julio de 2018. Los pequeños cachés de 8K del 603 eran notoriamente deficientes para el software Mac OS, particularmente para la emulación de 68K; Incluso los cachés del 603e provocan un impacto significativo en el rendimiento a velocidades de reloj más altas. Dado el objetivo de diseño de Arthur de 250 MHz y más, duplicar los cachés nuevamente tenía sentido.
  13. ^ Jansen, Daniel (2014). "CPU: PowerPC 603 y 603e". Mac de gama baja . Consultado el 29 de julio de 2018 .
  14. ^ Barbero, Scott (1997). "Problemas de Performa y Power Mac x200". Mac de gama baja . Consultado el 29 de julio de 2018 .
  15. ^ Davison, Remy. "Las 10 peores Mac jamás construidas". Mac increíblemente genial. Archivado desde el original el 1 de febrero de 2010 . Consultado el 30 de julio de 2018 .
  16. ^ Caballero, Daniel (2014). "Power Mac y Performa x200, Road Apples". Mac de gama baja . Consultado el 29 de julio de 2018 .
  17. ^ "Realiza 5200". Mac de gama baja. 1995 . Consultado el 29 de julio de 2018 .
  18. ^ "Página 603e de Freescale". Semiconductores de escala libre .
  19. ^ "Página 603e de IBM". Archivado desde el original el 7 de febrero de 2009.
  20. ^ Catálogo de productos de prueba y medición LeCroy 1998, TMCAT98 0498
  21. ^ Catálogo de productos de prueba y medición LeCroy 2001
  22. ^ Stokes, Jon (3 de agosto de 2004). "PowerPC en Apple: una historia arquitectónica, parte I (página 6, PowerPC 604)". Ars Técnica.
  23. ^ Gwennap, Linley (18 de abril de 1994). "PPC 604 supera a Pentium". Informe del microprocesador . 8 (5).
  24. ^ Canción, Peter S.; Denman, Marvin; Chang, Joe (octubre de 1994). "El microprocesador RISC PowerPC 604". Micro IEEE . 14 (5): 8. doi :10.1109/MM.1994.363071. S2CID  11603864.
  25. ^ "Página PowerPC 604e de IBM". IBM .[ enlace muerto ]
  26. ^ "Página PowerPC 604e de NXP".
  27. ^ "IBM destruye los planes del sistema PowerPC 620". Monitor técnico . New Statesman Media Group Ltd. 25 de agosto de 1997 . Consultado el 20 de marzo de 2021 .
  28. ^ Thompson, Tom; Ryan, Bob. "PowerPC 620 se dispara". Byte. Archivado desde el original el 20 de diciembre de 1996.
  29. ^ abc "M2". Próxima generación . Imagine los medios (6): 36–40. Junio ​​de 1995.
  30. ^ "Especificación de hardware del microprocesador PowerPC 602 RISC" (PDF) . Archivado desde el original (PDF) el 7 de agosto de 2016 . Consultado el 24 de julio de 2016 .
  31. ^ Información de la consola 3DO/Matsushita M2
  32. ^ "QED anuncia el desarrollo de tecnología de microprocesador PowerPC además de los microprocesadores MIPS existentes" (Comunicado de prensa). Dispositivos de efecto cuántico . 21 de octubre de 1996. Archivado desde el original el 12 de julio de 2007.
  33. ^ Turley, Jim (18 de noviembre de 1996). "El PowerPC 603q de QED apunta al bajo costo". Informe del microprocesador : 22–23.
  34. ^ ab PowerPC acelerando para la próxima generación: RISC más rápido adelante hasta el 97
  35. ^ Art Arizpe -Gerente de Proyecto/Gerente de Ingeniería Motorola, 1991–1996
  36. ^ ab Nombres en clave de procesador: PowerPC
  37. ^ Halfhill, Tom R. "Vistas alternativas del 615". Byte . Archivado desde el original el 20 de diciembre de 1996.
  38. ^ "Microsoft acabó con el PowerPC 615". El registro . 1 de octubre de 1998.
  39. ^ "DEC presenta la tecnología FX!32". Noticias Electrónicas . 6 de noviembre de 1995. págs.1, 94 . Consultado el 12 de octubre de 2022 .
  40. ^ "Currículum de Charles Moore" (PDF) . Archivado desde el original (PDF) el 24 de julio de 2011.
  41. ^ Cada, David K. (1999). "Los G3 siguen mejorando". Archivado desde el original el 10 de octubre de 1999.

Otras lecturas