stringtranslate.com

PODER7

POWER7 es una familia de microprocesadores multinúcleo superescalares basados ​​en la arquitectura del conjunto de instrucciones Power ISA 2.06 lanzada en 2010 que sucedió a POWER6 y POWER6+ . POWER7 fue desarrollado por IBM en varios sitios, incluidos Rochester, MN de IBM ; Austin, Texas; Essex Junction, Vermont ; Centro de Investigación TJ Watson , Nueva York; Bromont, QC [1] e IBM Deutschland Research & Development GmbH, Böblingen , laboratorios de Alemania. IBM anunció servidores basados ​​en POWER7 el 8 de febrero de 2010. [2] [3]

CPU IBM Power7 de 4 GHz de 8 vías e IHS de un IBM 9119
IBM Power7 4 GHz CPU de 8 vías IHS superior de un IBM 9119
Parte inferior de CPU IBM Power7 de 4 GHz de 8 vías de un IBM 9119
Intercalador extraíble de CPU de 8 vías IBM Power7 de 4 GHz de un IBM 9119

Historia

IBM ganó un contrato DARPA de 244 millones de dólares en noviembre de 2006 para desarrollar una arquitectura de supercomputadora a petaescala antes de finales de 2010 en el proyecto HPCS . El contrato también establece que la arquitectura estará disponible comercialmente. La propuesta de IBM, PERCS (Productive, Easy-to-use, Reliable Computer System), que les consiguió el contrato, se basa en el procesador POWER7, el sistema operativo AIX y el General Parallel File System . [4]

Una característica en la que colaboraron IBM y DARPA es la modificación del hardware de direccionamiento y tabla de páginas para admitir el espacio de memoria compartida global para los clústeres POWER7. Esto permite a los científicos investigadores programar un clúster como si fuera un sistema único, sin utilizar el paso de mensajes. Desde el punto de vista de la productividad, esto es esencial ya que algunos científicos no están familiarizados con MPI u otras técnicas de programación paralela utilizadas en clusters. [5]

Diseño

La arquitectura multinúcleo superescalar POWER7 fue una evolución sustancial del diseño POWER6, centrándose más en la eficiencia energética a través de múltiples núcleos y subprocesos múltiples simultáneos (SMT). [6] La arquitectura POWER6 se construyó desde cero para maximizar la frecuencia del procesador a costa de la eficiencia energética. Logró unos notables 5 GHz. Mientras que el POWER6 cuenta con un procesador de doble núcleo , cada uno de ellos capaz de realizar subprocesos múltiples simultáneos (SMT) bidireccionales , el procesador IBM POWER 7 tiene hasta ocho núcleos y cuatro subprocesos por núcleo, para una capacidad total de 32 subprocesos simultáneos. [7]

IBM declaró en ISCA 29 [8] que el rendimiento máximo se lograba mediante diseños de alta frecuencia con retrasos de 10 a 20 FO4 por etapa de tubería a costa de la eficiencia energética. Sin embargo, la unidad binaria de punto flotante POWER6 logra una "tubería de 6 ciclos y 13 FO4 ". [9] [ se necesita aclaración ] Por lo tanto, la tubería para la CPU POWER7 se ha cambiado nuevamente, tal como lo fue para los diseños POWER5 y POWER6. En algunos aspectos, esta reelaboración es similar a la de Intel en 2005, que abandonó la microarquitectura x86 de séptima generación del P4.

Especificaciones

El POWER7 está disponible con 4, 6 u 8 núcleos físicos por microchip, en un diseño de 1 a 32 vías, con hasta 1024 SMT y una microarquitectura e interfaces ligeramente diferentes para admitir subespecificaciones extendidas en referencia a Power ISA. y/o diferentes arquitecturas de sistemas. Por ejemplo, en el sistema de supercomputación (HPC) Power 775, está empaquetado como un módulo de cuatro chips (QCM) de 32 vías con 256 núcleos físicos y 1024 SMT. [10] También hay un modo TurboCore especial que puede apagar la mitad de los núcleos de un procesador de ocho núcleos, pero esos 4 núcleos tienen acceso a todos los controladores de memoria y caché L3 a velocidades de reloj aumentadas. Esto aumenta el rendimiento de cada núcleo, lo cual es importante para cargas de trabajo que requieren el rendimiento secuencial más rápido a costa de un rendimiento paralelo reducido. El modo TurboCore puede reducir "los costos de software a la mitad para aquellas aplicaciones que tienen licencia por núcleo, al tiempo que aumenta el rendimiento por núcleo de ese software". [11] Los nuevos servidores escalables de alta gama IBM Power 780 que presentan el nuevo modo de optimización de carga de trabajo TurboCore y ofrecen hasta el doble de rendimiento por núcleo que los sistemas basados ​​en POWER6. [11]

Cada núcleo es capaz de realizar subprocesos múltiples simultáneos (SMT) de cuatro vías. El POWER7 tiene aproximadamente 1.200 millones de transistores y tiene un tamaño de 567 mm 2 y está fabricado en un proceso de 45 nm. Una diferencia notable con respecto al POWER6 es que el POWER7 ejecuta instrucciones desordenadas en lugar de en orden. A pesar de la disminución de la frecuencia máxima en comparación con el POWER6 (4,25 GHz frente a 5,0 GHz), cada núcleo tiene un rendimiento mayor que el POWER6, mientras que cada procesador tiene hasta 4 veces más núcleos.

POWER7 tiene estas especificaciones: [12] [13]

La especificación técnica especifica además: [15]

Cada núcleo del procesador POWER7 implementa una ejecución agresiva de instrucciones fuera de orden (OoO) para impulsar una alta eficiencia en el uso de las rutas de ejecución disponibles. El procesador POWER7 tiene una Unidad de Secuencia de Instrucciones que es capaz de enviar hasta seis instrucciones por ciclo a un conjunto de colas. Se pueden emitir hasta ocho instrucciones por ciclo a las unidades de ejecución de instrucciones.

Esto proporciona las siguientes cifras teóricas de rendimiento de precisión simple (SP) (basadas en una implementación de 8 núcleos a 4,14 GHz):

4 unidades SIMD de 64 bits por núcleo y una unidad SIMD VMX de 128 bits por núcleo pueden realizar 12 multiplicaciones y adiciones por ciclo, lo que genera 24 operaciones de SP FP por ciclo. A 4,14 GHz, eso da 4,14 mil millones * 24 = 99,36 SP GFLOPS, y con 8 núcleos, 794,88 SP GFLOPS.

El rendimiento máximo de doble precisión (DP) es aproximadamente la mitad del rendimiento máximo de SP.

A modo de comparación, las CPU con arquitectura Haswell de Intel de 2013 pueden realizar 16 DP FLOP o 32 SP FLOP por ciclo (8/16 DP/SP fusionados de multiplicación y suma en 2 unidades vectoriales AVX2 FP de 256 bits). [16] A 3,4 GHz (i7-4770), esto se traduce en 108,8 SP GFLOPS por núcleo y 435,2 SP GFLOPS de rendimiento máximo en el chip de 4 núcleos, lo que brinda niveles aproximadamente similares de rendimiento por núcleo, sin tener en cuenta los efectos o beneficios de La tecnología Turbo Boost de Intel .

Esta comparación teórica de rendimiento máximo también se cumple en la práctica, ya que el POWER7 y el i7-4770 obtienen puntuaciones similares en las pruebas de punto flotante SPEC CPU2006 (de un solo subproceso): 71,5 [17] para POWER7 frente a 74,0 [18] para i7-4770.

Tenga en cuenta que el chip POWER7 superó significativamente (2×–5×) al i7 en algunos puntos de referencia (bwaves, cactusADM, lbm) y al mismo tiempo fue significativamente más lento (2x-3x) en la mayoría de los demás. Esto es indicativo de diferencias arquitectónicas importantes entre los dos chips/placas base/sistemas de memoria, etc.: fueron diseñados teniendo en cuenta diferentes cargas de trabajo.

Sin embargo, en general, en un sentido muy amplio, se puede decir que el rendimiento de punto flotante del POWER7 es similar al del Haswell i7.

POTENCIA7+

IBM presentó el procesador POWER7+ en la conferencia Hot Chips 24 en agosto de 2012. Es una versión actualizada con mayores velocidades, más caché y aceleradores integrados. Se fabrica mediante un proceso de fabricación de 32 nm. [19]

Las primeras cajas que se enviaron con los procesadores POWER7+ fueron los servidores IBM Power 770 y 780. Los chips tienen hasta 80 MB de caché L3 (10 MB/núcleo), velocidades de reloj mejoradas (hasta 4,4 GHz) y 20 LPAR por núcleo. [20]

Productos

En octubre de 2011 , la gama de sistemas basados ​​en POWER7, incluidos los modelos "Express" de IBM Power Systems (710, 720, 730, 740 y 750), los modelos Enterprise (770, 780 y 795) y los modelos informáticos de alto rendimiento (755 y 775 ). Los modelos empresariales se diferencian por tener capacidades de Capacidad bajo Demanda. Las especificaciones máximas se muestran en la siguiente tabla.

IBM también ofrece 5 BladeCenters basados ​​en POWER7 . [23] Las especificaciones se muestran en la siguiente tabla.

Los siguientes son proyectos de supercomputadoras que utilizan el procesador POWER7:

Ver también

Referencias

  1. ^ Authier, Isabelle (17 de febrero de 2011). "IBM Bromont au coeur de Watson" [IBM Bromont en el corazón de Watson]. Cyberpresse (en francés). Archivado desde el original el 19 de febrero de 2011 . Consultado el 17 de febrero de 2011 .
  2. ^ "IBM presenta nuevos sistemas POWER7 para gestionar servicios cada vez más intensivos en datos". IBM . 8 de febrero de 2010 . Consultado el 13 de septiembre de 2010 .
  3. ^ "Nuevos sistemas de optimización de carga de trabajo POWER7". YouTube . IBM. 5 de febrero de 2010. Archivado desde el original el 8 de febrero de 2011 . Consultado el 22 de febrero de 2010 .
  4. ^ "Cray, IBM elegido para el esfuerzo informático petaflop de EE. UU.". Tiempos EE.UU. 22 de noviembre de 2006 . Consultado el 13 de noviembre de 2022 .
  5. ^ ab "Vista previa de Hot Chips XXI". Tecnologías del mundo real . Consultado el 17 de agosto de 2009 .
  6. ^ Kanter, David. "Nueva información sobre POWER7" . Consultado el 11 de agosto de 2011 .
  7. ^ Varhol, Peter (9 de febrero de 2010). "IBM lanza el procesador POWER 7 el 9 de febrero de 2010" . Consultado el 11 de agosto de 2011 .
  8. ^ "Notas de la conferencia ISCA 29" . Consultado el 11 de agosto de 2011 .
  9. ^ "IBM sugiere arquitectura del procesador Power6". Semana de la Información . 6 de febrero de 2006 . Consultado el 6 de febrero de 2006 .
  10. ^ "Solución HPC IBM Power Systems 775" (PDF) . Consultado el 28 de abril de 2020 .
  11. ^ ab "IBM presenta nuevos sistemas POWER7 para gestionar servicios cada vez más intensivos en datos". IBM.com . Consultado el 11 de agosto de 2011 .
  12. ^ "IBM en la educación: soluciones tecnológicas y empresariales". IBM . Archivado desde el original el 4 de octubre de 2012 . Consultado el 8 de julio de 2009 .
  13. ^ "POWER7 de 8 núcleos de IBM: el doble de potencia, la mitad de transistores". Ars Técnica . Septiembre de 2009 . Consultado el 1 de septiembre de 2009 .
  14. ^ "Especificaciones de Bluewater HW". Centro Nacional de Aplicaciones de Supercomputación . Archivado desde el original el 23 de enero de 2010 . Consultado el 31 de diciembre de 2009 .
  15. ^ "Introducción y descripción técnica general de IBM Power 770 y 780" (PDF) . IBM . Consultado el 21 de agosto de 2011 .
  16. ^ Anand Lal Shimpi (5 de octubre de 2012). "Analización de la arquitectura Haswell de Intel: construcción de una nueva PC y una nueva Intel". Anandtech .
  17. ^ "Resultado de SPEC CFP2006, servidor IBM Power 780 (3,86 GHz, 16 núcleos)".
  18. ^ "Resultado de SPEC CFP2006, placa base Intel DH87MC (Intel Core i7-4770)".
  19. ^ "Hot Chips: actualización para IBM Power7". Archivado desde el original el 18 de mayo de 2015 . Consultado el 30 de agosto de 2012 .
  20. ^ Morgan, Timothy Prickett (3 de octubre de 2012). "Los chips Power7 + debutan en los grandes sistemas de gama media de IBM". El registro .
  21. ^ "Introducción y descripción técnica de IBM Power 720 y 740" (PDF) . Libros rojos de IBM . IBM. 3 de diciembre de 2012. p. 9 . Consultado el 13 de mayo de 2021 .
  22. ^ "Introducción y descripción técnica de IBM Power 720 y 740" (PDF) . Libros rojos de IBM . IBM. 16 de mayo de 2013. pág. 9 . Consultado el 3 de junio de 2021 .
  23. ^ "Hardware de IBM Power Systems: servidores Blade". IBM . Consultado el 30 de enero de 2012 .

enlaces externos