stringtranslate.com

Núcleo de propiedad intelectual de semiconductores.

En diseño electrónico , un núcleo de propiedad intelectual de semiconductores ( núcleo SIP ), núcleo IP o bloque IP es una unidad reutilizable de lógica, celda o diseño de circuito integrado que es propiedad intelectual de una de las partes. Los núcleos IP pueden otorgarse bajo licencia a otra parte o ser propiedad y utilizados por una sola parte. El término proviene de la licencia de la patente o copyright del código fuente que existe en el diseño. Los diseñadores de sistemas en chip (SoC), circuitos integrados de aplicaciones específicas (ASIC) y sistemas de lógica de matriz de puertas programables en campo (FPGA) pueden utilizar núcleos IP como bloques de construcción.

Historia

La concesión de licencias y el uso de núcleos IP en el diseño de chips se convirtió en una práctica común en la década de 1990. [1] Había muchos licenciantes y también muchas fundiciones compitiendo en el mercado. En 2013, los núcleos IP con mayor licencia fueron de Arm Holdings (43,2% de participación de mercado), Synopsys Inc. (13,9% de participación de mercado), Imagination Technologies (9% de participación de mercado) y Cadence Design Systems (5,1% de participación de mercado). [2]

Tipos de núcleos IP

El uso de un núcleo IP en el diseño de chips es comparable al uso de una biblioteca para programación de computadoras o un componente de circuito integrado discreto para el diseño de placas de circuito impreso . Cada uno es un componente reutilizable de la lógica de diseño con una interfaz y un comportamiento definidos que han sido verificados por su creador y están integrados en un diseño más grande.

Núcleos blandos

Los núcleos IP se ofrecen comúnmente como RTL sintetizable en un lenguaje de descripción de hardware como Verilog o VHDL . Estos son análogos a los lenguajes de bajo nivel como C en el campo de la programación informática. Los núcleos IP entregados a los diseñadores de chips como RTL les permiten modificar diseños a nivel funcional, aunque muchos proveedores de IP no ofrecen garantía ni soporte para diseños modificados. [ cita necesaria ]

Los núcleos IP a veces también se ofrecen como listas de red genéricas a nivel de puerta . La netlist es una representación de álgebra booleana de la función lógica de la IP implementada como puertas genéricas o celdas estándar de procesos específicos . Se puede compilar un núcleo IP implementado como puertas genéricas para cualquier tecnología de proceso. Una lista de red a nivel de puerta es análoga a una lista de código ensamblador en el campo de la programación informática. Una netlist brinda al proveedor principal de IP una protección razonable contra la ingeniería inversa. Ver también: protección del diseño del trazado de circuitos integrados .

Tanto los núcleos netlist como los sintetizables se denominan núcleos blandos ya que ambos permiten un flujo de diseño de síntesis , ubicación y enrutamiento ( SPR ).

Núcleos duros

Los núcleos duros (o macros duras) son núcleos IP analógicos o digitales cuya función los diseñadores de chips no pueden modificar significativamente. Generalmente se definen como una descripción física de nivel inferior que es específica de una tecnología de proceso particular. Los núcleos duros suelen ofrecer una mejor previsibilidad del rendimiento y el área de sincronización del chip para su tecnología particular. [ cita necesaria ]

La lógica analógica y de señal mixta generalmente se distribuye como núcleos duros. Por lo tanto, la IP analógica ( SerDes , PLL , DAC , ADC , PHY , etc.) se proporciona a los fabricantes de chips en formato de diseño de transistor (como GDSII ). Los núcleos IP digitales a veces también se ofrecen en formato de diseño.

Los diseños de transistores de bajo nivel deben obedecer las reglas de diseño del proceso de la fundición de destino. Por lo tanto, los núcleos duros entregados para el proceso de una fundición no pueden trasladarse fácilmente a un proceso o fundición diferente. Los operadores de fundición comercial (como IBM , Fujitsu , Samsung , TI , etc.) ofrecen varias funciones IP macro físicas creadas para sus propios procesos de fundición, lo que ayuda a garantizar la retención del cliente .

Fuentes de núcleos IP

Funcionalidad con licencia

Muchos de los núcleos IP más conocidos son diseños de microprocesadores blandos . Sus conjuntos de instrucciones varían desde pequeños procesadores de 8 bits, como el 8051 y PIC , hasta procesadores de 32 y 64 bits como las arquitecturas ARM o las arquitecturas RISC-V . Estos procesadores forman el "cerebro" de muchos sistemas integrados . Por lo general, son conjuntos de instrucciones RISC en lugar de conjuntos de instrucciones CISC como x86 porque se requiere menos lógica. Por tanto, los diseños son más pequeños. Además, los líderes x86, Intel y AMD, protegen en gran medida la propiedad intelectual de los diseños de sus procesadores y no utilizan este modelo de negocio para sus líneas de microprocesadores x86-64 .

Los núcleos IP también tienen licencia para varios controladores periféricos, como PCI Express , SDRAM , Ethernet , pantalla LCD , audio AC'97 y USB . Muchas de esas interfaces requieren núcleos IP analógicos y de lógica digital para controlar y recibir señales de alta velocidad, alto voltaje o alta impedancia fuera del chip.

Los núcleos IP de lógica digital "cableados" (a diferencia de los microprocesadores blandos programables por software descritos anteriormente) también tienen licencia para funciones fijas como decodificación de audio MP3 , GPU 3D , codificación/decodificación de vídeo digital y otras funciones DSP como FFT , DCT o Codificación de Viterbi .

Vendedores

Los principales desarrolladores y otorgantes de licencias de propiedad intelectual varían en tamaño, desde individuos hasta corporaciones multimillonarias. Los desarrolladores, así como sus clientes fabricantes de chips, se encuentran en todo el mundo.

La propiedad intelectual de silicio ( SIP , Silicon IP ) es un modelo de negocio para una empresa de semiconductores en el que licencia su tecnología a un cliente como propiedad intelectual . Una empresa con este modelo de negocio es una empresa de semiconductores sin fábrica , que no proporciona chips físicos a sus clientes, sino que simplemente facilita el desarrollo de chips por parte del cliente ofreciéndoles ciertos bloques funcionales. Normalmente, los clientes son empresas de semiconductores o desarrolladores de módulos con desarrollo interno de semiconductores. Una empresa que desee fabricar un dispositivo complejo puede obtener la licencia para utilizar bloques funcionales bien probados de otra empresa, como un microprocesador , en lugar de desarrollar su propio diseño, lo que requeriría tiempo y costos adicionales.

La industria Silicon IP ha tenido un crecimiento estable durante muchos años. Las empresas de propiedad intelectual de silicio más exitosas, a menudo denominadas Star IP, incluyen ARM Holdings y Synopsys . Gartner Group estimó el valor total de las ventas relacionadas con la propiedad intelectual del silicio en 1.500 millones de dólares estadounidenses en 2005, con un crecimiento anual esperado de alrededor del 30%. [3] [ necesita actualización ]

endurecimiento de propiedad intelectual

El refuerzo de IP es un proceso para reutilizar diseños probados y generar soluciones de fabricación de bajo riesgo y con un tiempo de comercialización rápido para proporcionar propiedad intelectual (IP) (o propiedad intelectual de silicio) de los núcleos de diseño.

Por ejemplo, un procesador de señal digital (DSP) se desarrolla a partir de núcleos blandos de formato RTL y puede orientarse a diversas tecnologías o diferentes fundiciones para producir diferentes implementaciones. El proceso de endurecimiento de IP va desde el núcleo blando hasta generar núcleos duros (hardware) reutilizables [ aclaración necesaria ] . Una ventaja principal de este tipo de IP rígida son sus características predecibles, ya que la IP se ha implementado previamente, al tiempo que ofrece flexibilidad de núcleos blandos. Podría venir con un conjunto de modelos de simulación para su verificación.

El esfuerzo por fortalecer la propiedad intelectual blanda requiere emplear la calidad de la tecnología objetivo, los objetivos del diseño y la metodología. La IP dura ha sido probada en la tecnología y aplicación de destino. Por ejemplo, se dice que el núcleo duro en formato GDS II se limpia en DRC ( verificación de reglas de diseño ) y LVS (consulte Diseño versus esquema ). Es decir, que pueda pasar todas las normas exigidas para su fabricación por parte de la fundición específica. [4] [5]

Gratis y de código abierto

Desde aproximadamente el año 2000, OpenCores.org ha ofrecido varios núcleos blandos, en su mayoría escritos en VHDL y Verilog . Todos estos núcleos se proporcionan bajo licencia de software gratuita y de código abierto, como la Licencia Pública General GNU o licencias similares a BSD . [6] Desde 2010, iniciativas como RISC-V han provocado una expansión masiva en el número de núcleos IP disponibles (casi 50 en 2019 [7] ). Esto ha ayudado a aumentar la colaboración en el desarrollo de diseños seguros y eficientes. [8]

Ver también

Referencias

  1. ^ Tuomi, Ilkka (4 de diciembre de 2009). "El futuro de los bloques arquitectónicos de propiedad intelectual de semiconductores en Europa". Repositorio de publicaciones del JRC . Consultado el 2 de agosto de 2023 .
  2. ^ Clark, Peter (23 de abril de 2014). "Cadence se sitúa entre los cuatro primeros en el ranking de núcleos semi IP". EE Times Europa . No. N/A. Pedro Clark. Prensa Empresarial Europea SA. Archivado desde el original el 2 de agosto de 2014 . Consultado el 14 de julio de 2014 .
  3. ^ Kiat Seng Yeo, Kim Tean Ng, Zhi Hui Kong Propiedad intelectual para circuitos integrados , J. Ross Publishing, 2010 ISBN 1-932159-85-1 
  4. ^ http://www.eettaiwan.com/ART_8800406094_480102_AN_71148c3a.HTM Archivado el 4 de agosto de 2009 en Wayback Machine Endurecimiento de IP por eetTaiwan Enlace muerto 2011 06 30
  5. ^ [1] Más información sobre el fortalecimiento de la propiedad intelectual. Una organización (establecida por el gobierno) proporciona servicios de protección e integración de la propiedad intelectual. En chino.
  6. ^ "Licencias :: OpenCores". opencores.org . Consultado el 14 de noviembre de 2019 .
  7. ^ "Descripción general de SoC y núcleos RISC-V". Fundación RISC-V. Archivado desde el original el 24 de abril de 2020 . Consultado el 8 de octubre de 2019 .
  8. ^ Daunhauer, Denis. "La relevancia de los núcleos de propiedad intelectual de código abierto para el desarrollo de IoT". Blog de Internet de las Cosas . Deloitte . Consultado el 8 de octubre de 2019 .

enlaces externos