stringtranslate.com

Litografía ultravioleta extrema

La litografía ultravioleta extrema ( EUVL , también conocida simplemente como EUV ) es una tecnología utilizada en la industria de semiconductores para la fabricación de circuitos integrados (CI). Es un tipo de fotolitografía que utiliza luz ultravioleta extrema (EUV) para crear patrones intrincados en obleas de silicio .

A partir de 2023 , ASML Holding es la única empresa que produce y vende sistemas EUV para la producción de chips, apuntando a nodos de proceso de 5 nanómetros (nm) y 3 nm .

Las longitudes de onda EUV que se utilizan en EUVL son cercanas a los 13,5  nanómetros (nm), utilizando un plasma de gotitas de estaño (Sn) pulsado con láser (los iones Sn en los estados iónicos de Sn IX a Sn XIV dan picos espectrales de emisión de fotones alrededor de 13,5 nm desde las transiciones de estado iónico 4p 6 4d n – 4p 5 4d n +1 + 4d n −1 4f. [1] ), para producir un patrón utilizando una fotomáscara reflectante para exponer un sustrato cubierto por fotorresistencia .

Mecanismo de formación de imágenes en litografía EUV.
  Espaciador de vidrio multicapa a base de silicio y reflectores de molibdeno EUV
  Amortiguador
  Radiación EUV
  Resistir
  Sustrato
  Electrones secundarios
Patrón de máscara que constituye una capa multicapa y absorbente EUV para la formación de imágenes de una línea. La radiación EUV reflejada desde el patrón de máscara es absorbida por la resina y el sustrato, lo que produce fotoelectrones y electrones secundarios. Estos electrones aumentan la extensión de las reacciones químicas en la resina. Un patrón de electrones secundarios que es aleatorio por naturaleza se superpone a la imagen óptica. La exposición no deseada a los electrones secundarios da como resultado la pérdida de resolución, la rugosidad observable del borde de la línea y la variación del ancho de línea.

Historia e impacto económico

En la década de 1960, se utilizó luz visible para la producción de circuitos integrados, con longitudes de onda tan pequeñas como 435  nm ( línea "g" del mercurio ).

Posteriormente se utilizó la luz ultravioleta (UV), al principio con una longitud de onda de 365 nm (línea "i" de mercurio), luego con longitudes de onda excimer, primero de 248 nm ( láser de fluoruro de criptón ), luego de 193 nm ( láser de fluoruro de argón ), lo que se denominó UV profundo.

El siguiente paso, aún más pequeño, se denominó UV extremo o EUV, una tecnología que muchos consideraban imposible.

La luz ultravioleta extrema es absorbida por el vidrio y el aire, por lo que en lugar de utilizar lentes para enfocar los rayos de luz como se hacía anteriormente, se necesitarían espejos en el vacío. Una producción fiable de luz ultravioleta extrema también era problemática. Entonces, los principales productores de motores paso a paso Canon y Nikon detuvieron el desarrollo, y algunos predijeron el fin de la ley de Moore . [ cita requerida ]

En 1991, los científicos de Bell Labs publicaron un artículo que demostraba la posibilidad de utilizar una longitud de onda de 13,8 nm para la llamada litografía de proyección de rayos X suaves. [2]

Para abordar el desafío de la litografía EUV, los investigadores del Laboratorio Nacional Lawrence Livermore , el Laboratorio Nacional Lawrence Berkeley y los Laboratorios Nacionales Sandia recibieron financiación en la década de 1990 para realizar una investigación básica sobre los obstáculos técnicos. Los resultados de este esfuerzo exitoso se difundieron a través de un Acuerdo de I+D Cooperativo (CRADA) de asociación público-privada, en el que la invención y los derechos eran propiedad exclusiva del gobierno de los EE. UU., pero se licenciaban y distribuían con la aprobación del Departamento de Energía y el Congreso. [3] El CRADA estaba formado por un consorcio de empresas privadas y los laboratorios, manifestado como una entidad llamada Extreme Ultraviolet Limited Liability Company (EUV LLC). [4]

Intel , Canon y Nikon (líderes en el campo en ese momento), así como la empresa holandesa ASML y Silicon Valley Group (SVG) solicitaron licencias. El Congreso denegó [ cita requerida ] a las empresas japonesas el permiso necesario, ya que eran percibidas [ ¿ por quién? ] como fuertes competidores técnicos en ese momento y no deberían beneficiarse de la investigación financiada por los contribuyentes a expensas de las empresas estadounidenses. [5] En 2001, SVG fue adquirida por ASML, dejando a ASML como el único beneficiario de la tecnología crítica. [6]

En 2018, ASML logró implementar la propiedad intelectual de EUV-LLC después de varias décadas de investigación de desarrollo, con la incorporación de EUCLIDES (Extreme UV Concept Lithography Development System), financiado con fondos europeos, y su socio de larga data, el fabricante de óptica alemán ZEISS y el proveedor de fuentes de luz de sincrotrón Oxford Instruments. Esto llevó a MIT Technology Review a denominarlo "la máquina que salvó la ley de Moore". [7] El primer prototipo en 2006 produjo una oblea en 23 horas. A partir de 2022, un escáner produce hasta 200 obleas por hora. El escáner utiliza óptica Zeiss, que esa empresa llama "los espejos más precisos del mundo", producidos al localizar imperfecciones y luego eliminar moléculas individuales con técnicas como la figuración de haz de iones. [8]

Esto convirtió a la otrora pequeña empresa ASML en el líder mundial en la producción de escáneres y monopolista de esta tecnología de vanguardia y dio como resultado una facturación récord de 18.600 millones de euros en 2021, eclipsando a sus competidores Canon y Nikon, a quienes se les negó el acceso a la propiedad intelectual. Debido a que se trata de una tecnología tan clave para el desarrollo en muchos campos, el licenciatario de los Estados Unidos presionó a las autoridades holandesas para que no vendieran estas máquinas a China . ASML ha seguido las pautas de los controles de exportación holandeses y hasta nuevo aviso no tendrá autoridad para enviar las máquinas a China. [9]

Junto con el diseño de patrones múltiples , la tecnología EUV ha allanado el camino para lograr mayores densidades de transistores, lo que permite producir procesadores de mayor rendimiento. Los transistores más pequeños también requieren menos energía para funcionar, lo que da como resultado una electrónica más eficiente energéticamente.

Proyección de crecimiento del mercado

Según un informe de Pragma Market Research, [10] se proyecta que el mercado mundial de litografía ultravioleta extrema (EUV) crecerá de US$8.957,8 millones en 2024 a US$17.350 millones en 2030, a una tasa de crecimiento anual compuesta (CAGR) del 11,7%. Este crecimiento significativo refleja la creciente demanda de electrónica miniaturizada en varios sectores, incluidos los teléfonos inteligentes , la inteligencia artificial y la informática de alto rendimiento .

Salida de la herramienta Fab

Requisitos para los motores paso a paso EUV, dada la cantidad de capas en el diseño que requieren EUV, la cantidad de máquinas y el rendimiento deseado de la fábrica, asumiendo un funcionamiento de 24 horas al día. [11]

Máscaras

Las fotomáscaras EUV funcionan reflejando la luz, [12] lo que se logra mediante el uso de múltiples capas alternas de molibdeno y silicio . Esto contrasta con las fotomáscaras convencionales que funcionan bloqueando la luz utilizando una sola capa de cromo sobre un sustrato de cuarzo. Una máscara EUV consta de 40-50 [13] capas alternas de silicio y molibdeno; [14] se trata de una multicapa que actúa para reflejar la luz ultravioleta extrema a través de la difracción de Bragg ; la reflectancia es una función importante del ángulo de incidencia y la longitud de onda, con longitudes de onda más largas que reflejan más cerca de la incidencia normal y longitudes de onda más cortas que reflejan más lejos de la incidencia normal. La multicapa puede estar protegida por una fina capa de rutenio , llamada capa de recubrimiento. [13] [15] [16] El patrón se define en una capa absorbente a base de tantalio sobre la capa de recubrimiento. [17]

Las fotomáscaras en blanco son fabricadas principalmente por dos empresas: AGC Inc. y Hoya Corporation . [18] El equipo de deposición por haz de iones fabricado principalmente por Veeco se utiliza a menudo para depositar la multicapa. [13] Una fotomáscara en blanco se cubre con fotorresistencia , que luego se cuece (solidifica) en un horno, y luego se define el patrón en la fotorresistencia utilizando litografía sin máscara con un haz de electrones. Este paso se llama exposición. [19] La fotorresistencia expuesta se revela (elimina) y las áreas desprotegidas se graban. Luego se retira la fotorresistencia restante. Luego se inspeccionan las máscaras y luego se reparan utilizando un haz de electrones . [20] El grabado debe realizarse solo en la capa absorbente [13] y, por lo tanto, es necesario distinguir entre la capa de recubrimiento y la capa absorbente, lo que se conoce como selectividad de grabado [21] y es diferente al grabado en fotomáscaras convencionales, que solo tienen una capa crítica para su función. [22]

Herramienta

Una herramienta EUVL, Laboratorio Nacional Lawrence Livermore

Una herramienta EUV (máquina de fotolitografía EUV) tiene una fuente de luz de plasma de estaño (Sn) impulsada por láser, óptica reflectante que comprende espejos multicapa, contenida dentro de un ambiente de gas hidrógeno . [23] El hidrógeno se utiliza para mantener el espejo colector EUV, como el primer espejo que recoge EUV emitido en un amplio rango de ángulos (~2π  sr ) desde el plasma de Sn, en la fuente libre de deposición de Sn. [24] Específicamente, el gas tampón de hidrógeno en la cámara o recipiente de la fuente EUV desacelera o posiblemente empuja hacia atrás los iones de Sn y los desechos de Sn que viajan hacia el colector EUV (protección del colector) y permite una reacción química para eliminar la deposición de Sn en el colector en forma de gas (restauración de la reflectividad del colector).

La litografía EUVL es una desviación significativa del estándar de litografía ultravioleta profunda. Toda la materia absorbe la radiación EUV . Por lo tanto, la litografía EUV requiere vacío. Todos los elementos ópticos, incluida la fotomáscara , deben utilizar multicapas de molibdeno / silicio (Mo/Si) libres de defectos (que consisten en 50 bicapas de Mo/Si, cuyo límite teórico de reflectividad a 13,5 nm es ~75% [25] ) que actúan para reflejar la luz por medio de la interferencia de ondas entre capas; cualquiera de estos espejos absorbe alrededor del 30% de la luz incidente, por lo que el control de la temperatura del espejo es importante.

Los sistemas EUVL actuales contienen al menos dos espejos multicapa de condensador , seis espejos multicapa de proyección y un objeto multicapa (máscara). Dado que los espejos absorben el 96% de la luz EUV, la fuente EUV ideal debe ser mucho más brillante que sus predecesoras. El desarrollo de la fuente EUV se ha centrado en plasmas generados por láser o pulsos de descarga. El espejo responsable de recolectar la luz está expuesto directamente al plasma y es vulnerable a daños causados ​​por iones de alta energía [26] [27] y otros desechos [28] como gotitas de estaño, que requieren que el costoso espejo colector se reemplace cada año. [29]

Requisitos de recursos

Los recursos de utilidad necesarios son significativamente mayores para EUV en comparación con la inmersión de 193 nm , incluso con dos exposiciones utilizando este último. En el Simposio EUV de 2009, Hynix informó que la eficiencia del enchufe de pared era ~0,02% para EUV, es decir, para obtener 200 vatios en el enfoque intermedio para 100 obleas por hora, se requeriría 1 megavatio de potencia de entrada, en comparación con 165 kilovatios para un escáner de inmersión ArF, y que incluso con el mismo rendimiento, el espacio ocupado por el escáner EUV era ~3 veces el espacio ocupado por un escáner de inmersión ArF, lo que resulta en una pérdida de productividad. [30] Además, para confinar los desechos de iones, puede ser necesario un imán superconductor. [31]

Una herramienta EUV típica pesa casi 200 toneladas [32] y cuesta alrededor de 180 millones de dólares. [33]

Las herramientas EUV consumen al menos 10 veces más energía que las herramientas de inmersión. [34]

Resumen de las características principales

La siguiente tabla resume las diferencias clave entre los sistemas EUV en desarrollo y los sistemas de inmersión ArF que se utilizan ampliamente en producción hoy en día:

Los diferentes grados de resolución entre las herramientas de 0,33 NA se deben a las diferentes opciones de iluminación. A pesar del potencial de la óptica para alcanzar una resolución inferior a 20 nm, los electrones secundarios en la resina prácticamente limitan la resolución a alrededor de 20 nm (más sobre esto a continuación). [46]

Potencia, rendimiento y tiempo de funcionamiento de la fuente de luz

Rendimiento de EUV en función de la dosis. El rendimiento de las obleas de una herramienta EUV es en realidad una función de la dosis de exposición para una fuente de energía fija.

Los átomos neutros o la materia condensada no pueden emitir radiación EUV. La ionización debe preceder a la emisión EUV en la materia. La producción térmica de iones positivos multicargados solo es posible en un plasma denso caliente , que a su vez absorbe fuertemente EUV. [47] A partir de 2016, la fuente de luz EUV establecida es un plasma de estaño pulsado por láser. [48] Los iones absorben la luz EUV que emiten y son fácilmente neutralizados por electrones en el plasma a estados de carga más bajos, que producen luz principalmente en otras longitudes de onda inutilizables, lo que resulta en una eficiencia mucho reducida de generación de luz para litografía a mayor densidad de potencia del plasma.

El rendimiento está vinculado a la potencia de la fuente, dividida por la dosis. [49] Una dosis más alta requiere un movimiento de etapa más lento (menor rendimiento) si no se puede aumentar la potencia del pulso.

La reflectividad del colector EUV se degrada entre un 0,1 y un 0,3 % por cada mil millones de pulsos de 50 kHz (un 10 % en unas 2 semanas), lo que provoca una pérdida de tiempo de actividad y rendimiento, mientras que incluso en los primeros miles de millones de pulsos (en un día), sigue habiendo una fluctuación del 20 % (±10 %). [50] Esto podría deberse a la acumulación de residuos de Sn mencionados anteriormente que no se eliminan por completo. [51] [52] Por otro lado, las herramientas de litografía de inmersión convencionales para el doble patrón proporcionan una salida constante durante hasta un año. [53]

Recientemente, el iluminador NXE:3400B presenta una relación de llenado de pupila (PFR) más pequeña, de hasta el 20 %, sin pérdida de transmisión. [54] La PFR se maximiza y es mayor a 0,2 alrededor de un paso de metal de 45 nm. [55]

Debido al uso de espejos EUV que también absorben la luz EUV, solo una pequeña fracción de la luz de la fuente está finalmente disponible en la oblea. Se utilizan 4 espejos para la óptica de iluminación y 6 espejos para la óptica de proyección. La máscara o retícula EUV es en sí misma un espejo adicional. Con 11 reflexiones, solo ~2% de la luz de la fuente EUV está disponible en la oblea. [56]

El rendimiento está determinado por la dosis de resistencia EUV, que a su vez depende de la resolución requerida. [57] Se espera que se mantenga una dosis de 40 mJ/cm 2 para un rendimiento adecuado. [58]

Tiempo de actividad de la herramienta

La fuente de luz EUV limita el tiempo de actividad de la herramienta además del rendimiento. En un período de dos semanas, por ejemplo, se pueden programar más de siete horas de inactividad, mientras que el tiempo de inactividad real total, incluidos los problemas no programados, podría superar fácilmente un día. [56] Un error de dosis superior al 2 % justifica un tiempo de inactividad de la herramienta. [56]

El rendimiento de exposición de obleas se expandió de manera constante hasta alrededor de 1000 obleas por día (por sistema) durante el período 2019-2022, [59] [60] lo que indica un tiempo de inactividad sustancial, mientras que al mismo tiempo se ejecutan >120 obleas por día en varias capas EUV con múltiples patrones, para una oblea EUV en promedio.

Comparación con otras fuentes de luz para litografía

EUV (10–121 nm) es la banda más larga que los rayos X (0,1–10 nm) y más corta que la línea Lyman-alfa del hidrógeno .

Si bien los láseres excimer ArF de 193 nm de última generación ofrecen intensidades de 200 W/cm 2 , [61] los láseres para producir plasmas generadores de EUV deben ser mucho más intensos, del orden de 10 11  W/cm 2 . [62] Una fuente de luz de 120 W para litografía de inmersión ArF de última generación no requiere más de 40 kW de potencia eléctrica, [63] mientras que las fuentes EUV están diseñadas para superar los 40 kW. [64]

El objetivo de potencia óptica para la litografía EUV es de al menos 250 W, mientras que para otras fuentes de litografía convencionales es mucho menor. [56] Por ejemplo, las fuentes de luz de litografía de inmersión tienen como objetivo 90 W, las fuentes de ArF secas 45 W y las fuentes de KrF 40 W. Se espera que las fuentes EUV de alta NA requieran al menos 500 W. [56]

Problemas ópticos específicos de EUV

Óptica reflectante

Diferencia de enfoque de EUV HV. Las características del patrón de máscara (retícula) horizontal (H) y vertical (V) se enfocan de manera diferente en los sistemas ópticos EUV. La apertura numérica (NA) también marca una diferencia.

Un aspecto fundamental de las herramientas EUVL, resultante del uso de ópticas reflectivas, es la iluminación fuera del eje (en un ángulo de 6°, en diferentes direcciones en diferentes posiciones dentro de la ranura de iluminación) [65] sobre una máscara multicapa (retícula). Esto genera efectos de sombreado que resultan en asimetría en el patrón de difracción que degrada la fidelidad del patrón de varias maneras, como se describe a continuación. [66] [67] Por ejemplo, un lado (detrás de la sombra) aparecería más brillante que el otro (dentro de la sombra). [68]

El comportamiento de los rayos de luz dentro del plano de reflexión (que afectan las líneas horizontales) es diferente del comportamiento de los rayos de luz fuera del plano de reflexión (que afectan las líneas verticales). [69] Lo más llamativo es que las líneas horizontales y verticales de tamaño idéntico en la máscara EUV están impresas en diferentes tamaños en la oblea.

Diferencia de CD de 2 barras frente al enfoque. La diferencia entre los anchos de dos líneas horizontales adyacentes varía en función del enfoque.

La combinación de la asimetría fuera del eje y el efecto de sombreado de la máscara conduce a una incapacidad fundamental de que dos características idénticas, incluso muy próximas, estén enfocadas simultáneamente. [70] Uno de los problemas clave de la EUVL es la asimetría entre la línea superior e inferior de un par de líneas horizontales (las llamadas "dos barras"). Algunas formas de compensar parcialmente esto son el uso de características de asistencia, así como la iluminación asimétrica. [71]

Una extensión del caso de dos barras a una rejilla que consta de muchas líneas horizontales muestra una sensibilidad similar al desenfoque. [72] Esto se manifiesta en la diferencia de dimensión crítica (CD) entre las líneas de borde superior e inferior del conjunto de 11 líneas horizontales.

La polarización por reflexión también conduce a una polarización parcial de la luz EUV, lo que favorece la formación de imágenes de líneas perpendiculares al plano de las reflexiones. [73] [74]

Cambio de patrón debido al desenfoque (no telecentricidad)

Debido a los diferentes cambios de fase que se producen a partir de la reflexión de la máscara EUV, los diferentes ángulos de iluminación dan lugar a diferentes cambios. Esto produce una reducción del contraste de la imagen , también conocida como desvanecimiento.

El absorbedor de máscara EUV, debido a la transmisión parcial, genera una diferencia de fase entre los órdenes de difracción 0 y 1 de un patrón de espacio de línea, lo que da como resultado desplazamientos de la imagen (en un ángulo de iluminación determinado), así como cambios en la intensidad máxima (que conducen a cambios en el ancho de línea) que se mejoran aún más debido al desenfoque. [75] [76] En última instancia, esto da como resultado diferentes posiciones de mejor enfoque para diferentes tonos y diferentes ángulos de iluminación. Generalmente, el desplazamiento de la imagen se equilibra debido a que los puntos de fuente de iluminación se emparejan (cada uno en lados opuestos del eje óptico). Sin embargo, las imágenes separadas se superponen y el contraste de imagen resultante se degrada cuando los desplazamientos de la imagen de fuente individual son lo suficientemente grandes. La diferencia de fase en última instancia también determina la mejor posición de enfoque.

La multicapa también es responsable del cambio de imagen debido a los cambios de fase de la luz difractada dentro de la propia multicapa. [77] Esto es inevitable debido a que la luz pasa dos veces a través del patrón de máscara. [78]

El uso de la reflexión hace que la posición de exposición de la oblea sea extremadamente sensible a la planitud de la retícula y a la abrazadera de la retícula. Por lo tanto, se requiere mantener la limpieza de la abrazadera de la retícula. Pequeñas desviaciones ( en escala de milirradianes ) en la planitud de la máscara en la pendiente local, junto con el desenfoque de la oblea. [79] Más significativamente, se ha descubierto que el desenfoque de la máscara da como resultado grandes errores de superposición. [80] [81] En particular, para una capa de metal de nodo de 10 nm 1 (incluyendo pasos de 48 nm, 64 nm, 70 nm, líneas aisladas y eléctricas), el error de colocación de patrón incorregible fue de 1 nm para el cambio de posición z de la máscara de 40 nm. [82] Este es un cambio de patrón global de la capa con respecto a las capas definidas previamente. Sin embargo, las características en diferentes ubicaciones también cambiarán de manera diferente debido a diferentes desviaciones locales de la planitud de la máscara, por ejemplo, de defectos enterrados debajo de la multicapa. Se puede estimar que la contribución de la falta de planitud de la máscara al error de superposición es aproximadamente 1/40 veces la variación del espesor de pico a valle. [83] Con la especificación de pico a valle en blanco de 50 nm, es posible un error de colocación de imagen de ~1,25 nm. Las variaciones del espesor en blanco de hasta 80 nm también contribuyen, lo que lleva a un desplazamiento de imagen de hasta 2 nm. [83]

La iluminación fuera del eje de la retícula también es la causa de la no telecentricidad en el desenfoque de la oblea, que consume la mayor parte del presupuesto de superposición de 1,4 nm del escáner EUV NXE:3400 [84] incluso para reglas de diseño tan laxas como un paso de 100 nm. [85] El peor error de colocación de patrón incorregible para una línea de 24 nm fue de aproximadamente 1,1 nm, en relación con una línea de alimentación adyacente de 72 nm, por cada cambio de posición de enfoque de la oblea de 80 nm en una sola posición de rendija; Cuando se incluye el rendimiento a través de la rendija, el peor error es de más de 1,5 nm en la ventana de desenfoque de la oblea [82]. En 2017, un microscopio actínico que imita un sistema de litografía EUV de NA 0,33 con iluminación quasar 45 de 0,2/0,9 mostró que una matriz de contacto de paso de 80 nm se desplazó de −0,6 a 1,0 nm, mientras que una matriz de contacto de paso de 56 nm se desplazó de −1,7 a 1,0 nm en relación con una línea de referencia horizontal, dentro de una ventana de desenfoque de ±50 nm. [86]

El desenfoque de la oblea también conduce a errores de colocación de la imagen debido a desviaciones de la planitud de la máscara local. Si la pendiente local se indica mediante un ángulo α, la imagen se proyecta desplazada en una herramienta de proyección 4× por 8α × (DOF/2) = 4α DOF , donde DOF es la profundidad de foco. [87] Para una profundidad de foco de 100 nm, una pequeña desviación local de la planitud de 2,5 mrad (0,14°) puede conducir a un desplazamiento del patrón de 1 nm.

Las simulaciones y los experimentos han demostrado que los desequilibrios de pupila en la litografía EUV pueden dar lugar a errores de colocación de patrones dependientes del paso. [88] [89] Dado que el desequilibrio de pupila cambia con el envejecimiento o la contaminación del espejo colector EUV, dichos errores de colocación pueden no ser estables a lo largo del tiempo. La situación es especialmente complicada para los dispositivos lógicos, donde varios pasos tienen requisitos críticos al mismo tiempo. [90] La cuestión se soluciona idealmente con exposiciones múltiples con iluminaciones personalizadas. [91]

Dependencia de la posición de la rendija

Rotación de la iluminación a través de la rendija del campo anular. La luz reflejada desde superficies ópticas curvas generará segmentos de arco . [92] Los ángulos de iluminación se rotan azimutalmente a través de la rendija en forma de arco (derecha), debido a la reflexión de una imagen en forma de arco desde cada posición de pupila como fuente puntual (izquierda). [93] [94] El patrón de distribución de reflectancia multicapa dependiente del ángulo y de la longitud de onda se rota en consecuencia.

La dirección de la iluminación también depende en gran medida de la posición de la rendija, que gira esencialmente de forma azimutal. [95] [96] [43] [97] [98] [99] Nanya Technology y Synopsys descubrieron que el sesgo horizontal frente al vertical cambiaba a lo largo de la rendija con la iluminación dipolar. [100] El plano de incidencia giratorio (rango azimutal entre −25° y 25°) se confirma en el microscopio de revisión actínico SHARP en CXRO, que imita la óptica de los sistemas de litografía de proyección EUV. [101] La razón de esto es que se utiliza un espejo para transformar campos rectangulares rectos en campos con forma de arco. [102] [103] Para preservar un plano de incidencia fijo, la reflexión del espejo anterior sería desde un ángulo diferente con la superficie para una posición de rendija diferente; esto provoca una no uniformidad de reflectividad. Para preservar la uniformidad, se utiliza la simetría rotacional con un plano de incidencia giratorio. [104] En términos más generales, los denominados sistemas de "campo en anillo" reducen las aberraciones al basarse en la simetría rotacional de un campo en forma de arco derivado de un anillo fuera del eje. [105] Esto es lo que se prefiere, ya que los sistemas reflectantes deben utilizar trayectorias fuera del eje, que agravan las aberraciones. Por lo tanto, los patrones de matriz idénticos dentro de diferentes mitades de la ranura en forma de arco requerirían diferentes OPC . Esto los hace ininspeccionables mediante una comparación de matriz a matriz, ya que ya no son matrices verdaderamente idénticas. Para los pasos que requieren iluminación dipolar, cuadripolar o hexapolar, la rotación también provoca un desajuste con el mismo diseño de patrón en una posición de ranura diferente, es decir, borde frente a centro. Incluso con iluminación anular o circular, la simetría rotacional se destruye por la reflectancia multicapa dependiente del ángulo descrita anteriormente. Aunque el rango del ángulo azimutal es de aproximadamente ±20° [106] (los datos de campo NXE3400 [107] indican 18,2° [108] ) en escáneres de 0,33 NA, con reglas de diseño de 7 nm (paso de 36 a 40 nm), la tolerancia para la iluminación puede ser de ±15°, [109] [110] o incluso menos. [111] [112] [107] La ​​no uniformidad y asimetría de la iluminación anular también afectan significativamente la imagen. [113] Con un paso de 28 nm, la iluminación dipolar requerida se vuelve imposible de mantener a través de la rendija en sistemas EUV de 0,33 NA. [114]

El ángulo de incidencia más grande para la tendencia de iluminación dipolar dependiente del paso a través de la rendija no afecta tanto el sombreado de la línea horizontal, pero el sombreado de la línea vertical aumenta al ir del centro al borde. [115] Además, los sistemas de mayor NA pueden ofrecer un alivio limitado del sombreado, ya que apuntan a pasos estrechos. [115]

Las líneas horizontales y verticales muestran diferentes sombras a lo largo de la ranura.

La dependencia de la posición de la rendija es particularmente difícil para los patrones inclinados que se encuentran en la DRAM. [98] Además de los efectos más complicados debido al sombreado y la rotación de la pupila, los bordes inclinados se convierten en forma de escalera, que puede distorsionarse mediante OPC. De hecho, la DRAM de paso de 32 nm mediante EUV se alargará hasta al menos 9 F 2 de área de celda, donde F es la mitad del paso del área activa (tradicionalmente, había sido 6 F 2 ). [100] Con un corte de área activa de doble patrón autoalineado 2-D, el área de celda es aún menor a 8,9 F 2 . [116]

Las aberraciones , originadas por desviaciones de las superficies ópticas con respecto a las especificaciones subatómicas (<0,1 nm) [117], así como las deformaciones térmicas [118] [119] y que posiblemente incluyan efectos de reflectancia polarizada [120] , también dependen de la posición de la rendija [121] [119] , como se analizará más adelante, con respecto a la optimización de la máscara de fuente (SMO). Se espera que las aberraciones inducidas térmicamente presenten diferencias entre las diferentes posiciones a lo largo de la rendija, correspondientes a diferentes posiciones de campo, ya que cada posición encuentra diferentes partes de los espejos deformados [122] . Irónicamente, el uso de materiales de sustrato con alta estabilidad térmica y mecánica hace que sea más difícil compensar los errores del frente de onda [123].

En combinación con el rango de longitudes de onda, el plano de incidencia rotado agrava el impacto estocástico ya severo en las imágenes EUV. [124]

Ancho de banda de longitud de onda (aberración cromática)

El desplazamiento de la imagen debido al desenfoque depende de la longitud de onda. La dependencia angular de la reflectancia multicapa del objeto (máscara) es diferente para las distintas longitudes de onda, lo que genera distintos desplazamientos cuando se desenfoca.

A diferencia de las fuentes de litografía ultravioleta profunda (DUV), basadas en láseres excimer, las fuentes de plasma EUV producen luz en un amplio rango de longitudes de onda [125] que abarcan aproximadamente un ancho de banda de FWHM del 2% cerca de 13,5 nm (13,36 nm – 13,65 nm al 50% de potencia). EUV (10–121 nm) es la banda más larga que los rayos X (0,1–10 nm) y más corta que la línea Lyman-alfa del hidrógeno .

Aunque el espectro EUV no es completamente monocromático, ni siquiera tan puro espectralmente como las fuentes láser DUV, la longitud de onda de trabajo generalmente se ha considerado de 13,5 nm. En realidad, la potencia reflejada se distribuye principalmente en el rango de 13,3 a 13,7 nm. [126] El ancho de banda de la luz EUV reflejada por un espejo multicapa utilizado para la litografía EUV es superior al +/-2% (>270 pm); [127] los cambios de fase debido a los cambios de longitud de onda en un ángulo de iluminación dado se pueden calcular [128] y comparar con el presupuesto de aberración. [129] La dependencia de la longitud de onda de la reflectancia [128] [126] también afecta la apodización o distribución de la iluminación a través de la pupila (para diferentes ángulos); diferentes longitudes de onda efectivamente "ven" diferentes iluminaciones ya que se reflejan de manera diferente por la multicapa de la máscara. [130] [126] Esta inclinación efectiva de la iluminación de la fuente puede provocar grandes cambios de imagen debido al desenfoque. [131] Por el contrario, la longitud de onda reflejada máxima varía a lo largo de la pupila debido a los diferentes ángulos de incidencia. [126] [132] Esto se agrava cuando los ángulos abarcan un radio amplio, por ejemplo, la iluminación anular. La longitud de onda de reflectancia máxima aumenta para ángulos de incidencia más pequeños. [133] Se han propuesto multicapas aperiódicas para reducir la sensibilidad a costa de una menor reflectividad, pero son demasiado sensibles a las fluctuaciones aleatorias de los espesores de las capas, como las causadas por la imprecisión del control del espesor o la interdifusión. [134]

Un ancho de banda más estrecho aumentaría la sensibilidad al absorbente de máscara y al espesor del tampón en la escala de 1 nm. [135]

Llamarada

El destello es la presencia de luz de fondo que se origina a partir de la dispersión de las características de la superficie que no se resuelven con la luz. En los sistemas EUV, esta luz puede ser EUV o luz fuera de banda (OoB) que también es producida por la fuente EUV. La luz OoB agrega la complicación de afectar la exposición de la resistencia de maneras distintas a las explicadas por la exposición EUV. La exposición a la luz OoB puede aliviarse mediante una capa recubierta sobre la resistencia, así como características de "borde negro" en la máscara EUV. [136] Sin embargo, el recubrimiento de la capa inevitablemente absorbe la luz EUV, y el borde negro agrega un costo de procesamiento de la máscara EUV.

Efectos de punta de línea

Un desafío clave para EUV es el comportamiento de contra-escalamiento de la distancia de punta a punta de línea (T2T) a medida que se reduce la mitad del paso (hp). [111] Esto se debe en parte al menor contraste de imagen para las máscaras binarias utilizadas en la litografía EUV, que no se encuentra con el uso de máscaras de cambio de fase en la litografía de inmersión. [137] [138] El redondeo de las esquinas del extremo de la línea conduce al acortamiento del extremo de la línea, [139] y esto es peor para las máscaras binarias. [140] Se ha estudiado el uso de máscaras de cambio de fase en la litografía EUV, pero encuentra dificultades por el control de fase en capas delgadas [141] así como por el ancho de banda de la propia luz EUV. [142] De manera más convencional, se utiliza la corrección de proximidad óptica (OPC) para abordar el redondeo de las esquinas y el acortamiento del extremo de la línea. A pesar de esto, se ha demostrado que la resolución de punta a punta y la capacidad de impresión de la punta de la línea se compensan entre sí, siendo efectivamente CD de polaridad opuesta. [143]

En las capas metálicas unidireccionales, el espaciado de punta a punta es uno de los problemas más graves para la creación de patrones de exposición única. Para las líneas verticales con un paso de 40 nm, un espacio nominal dibujado de punta a punta de 18 nm dio como resultado una distancia real de punta a punta de 29 nm con OPC, [111] mientras que para las líneas horizontales con un paso de 32 nm, la distancia de punta a punta con un espacio nominal de 14 nm fue de 31 nm con OPC. [144] Estas distancias reales de punta a punta definen un límite inferior del medio paso del metal que corre en la dirección perpendicular a la punta. En este caso, el límite inferior es de alrededor de 30 nm. Con una mayor optimización de la iluminación (discutida en la sección sobre optimización de la máscara de fuente), el límite inferior se puede reducir aún más a alrededor de 25 nm. [145]

Para distancias mayores, donde se puede utilizar iluminación convencional, la distancia de punta a punta de la línea es generalmente mayor. Para las líneas de medio paso de 24 nm, con un espacio nominal dibujado de 20 nm, la distancia fue en realidad de 45 nm, mientras que para las líneas de medio paso de 32 nm, el mismo espacio nominal resultó en una distancia de punta a punta de 34 nm. [144] Con OPC, estos valores se convierten en 39 nm y 28 nm para medio paso de 24 nm y medio paso de 32 nm, respectivamente. [146]

Oportunidades de mejora para el modelado EUV

Funciones de asistencia

Función de asistencia OPC. Las funciones de asistencia ayudan a mejorar la imagen de las características aisladas (azul) para que se parezcan más a las características densas (gris). Sin embargo, cuanto más efectivas sean, mayor será el riesgo de que la función de asistencia se imprima (naranja).

Las características de asistencia se utilizan a menudo para ayudar a equilibrar la asimetría de la no telecentricidad en diferentes posiciones de la rendija, debido a diferentes ángulos de iluminación, comenzando en el nodo de 7 nm, [147] [148] donde el paso es ~ 41 nm para una longitud de onda ~ 13,5 nm y NA = 0,33, correspondiente a k1 ~ 0,5. [149] Sin embargo, la asimetría se reduce pero no se elimina, ya que las características de asistencia mejoran principalmente las frecuencias espaciales más altas, mientras que las frecuencias espaciales intermedias, que también afectan el enfoque y la posición de la característica, no se ven muy afectadas. El acoplamiento entre la imagen principal y las imágenes propias es demasiado fuerte para que la asimetría se elimine mediante características de asistencia; solo la iluminación asimétrica puede lograr esto. [71] Las características de asistencia también pueden obstaculizar el acceso a los rieles de alimentación/tierra. Se espera que los rieles de alimentación sean más anchos, lo que también limita la eficacia del uso de las características de asistencia, al restringir el paso local. Los pasos locales entre 1× y 2× del paso mínimo impiden la colocación de la característica de asistencia, ya que simplemente no hay espacio para preservar la simetría del paso local. De hecho, para la aplicación al caso de asimetría de dos barras, la colocación óptima de la característica de asistencia puede ser menor o mayor que el paso de dos barras. [148] Dependiendo del parámetro que se vaya a optimizar (área de la ventana de proceso, profundidad de enfoque, latitud de exposición), la configuración óptima de la característica de asistencia puede ser muy diferente, por ejemplo, el paso entre la característica de asistencia y la barra puede ser diferente del paso de dos barras, simétrico o asimétrico, etc.

En pasos menores de 58 nm, existe un equilibrio entre la mejora de la profundidad de enfoque y la pérdida de contraste por la ubicación de las funciones de asistencia. [148] Generalmente, todavía existe un equilibrio entre enfoque y exposición, ya que la ventana de dosis está restringida por la necesidad de que las funciones de asistencia no se impriman accidentalmente.

Una preocupación adicional proviene del ruido de disparo; [150] las características de asistencia de subresolución (SRAF) hacen que la dosis requerida sea menor, para no imprimir las características de asistencia accidentalmente. [151] Esto da como resultado menos fotones que definen características más pequeñas (ver discusión en la sección sobre ruido de disparo).

Como las SRAF son características más pequeñas que las características primarias y no se supone que reciban dosis lo suficientemente altas como para imprimirlas, son más susceptibles a variaciones de dosis estocásticas que causan errores de impresión; esto es particularmente prohibitivo para EUV, donde puede ser necesario utilizar máscaras de cambio de fase. [152]

Optimización de la máscara de origen

Efecto del tono en el SMO. El SMO realizado específicamente para un tono puede tener un rendimiento variable para otros tonos.

Debido a los efectos de la no telecentricidad, las formas de pupila de iluminación estándar, como disco o anular, no son suficientes para ser utilizadas para tamaños de características de ~20 nm o inferiores (nodo de 10 nm y más allá). [85] En cambio, ciertas partes de la pupila (a menudo más del 50%) deben excluirse asimétricamente. Las partes a excluir dependen del patrón. En particular, las líneas más densas permitidas deben alinearse a lo largo de una dirección y prefieren una forma dipolar. Para esta situación, se requeriría litografía de doble exposición para patrones 2D, debido a la presencia de patrones orientados tanto en X como en Y, cada uno de los cuales requiere su propia máscara de patrón 1D y orientación dipolar. [153] [154] Puede haber entre 200 y 400 puntos de iluminación, cada uno de los cuales contribuye con su peso de la dosis para equilibrar la imagen general a través del enfoque. Por lo tanto, el efecto de ruido de disparo (que se analizará más adelante) afecta críticamente la posición de la imagen a través del enfoque, en una gran población de características.

También sería necesario un patrón doble o múltiple si un patrón consta de subpatrones que requieren iluminaciones optimizadas significativamente diferentes, debido a diferentes tonos, orientaciones, formas y tamaños.

Impacto de la posición de la rendija y las aberraciones

Efecto de las distintas longitudes de onda. Las distintas longitudes de onda tienen pupilas diferentes, lo que da como resultado distintos resultados de optimización de la máscara de fuente.

En gran medida debido a la forma de la rendija, [106] y la presencia de aberraciones residuales, [155] la efectividad de SMO varía según la posición de la rendija. [156] En cada posición de la rendija, hay diferentes aberraciones [121] y diferentes ángulos azimutales de incidencia que conducen a diferentes sombras. [43] En consecuencia, podría haber variaciones no corregidas a través de la rendija para características sensibles a la aberración, que pueden no verse obviamente con patrones de espacio de línea regulares. [148] En cada posición de la rendija, aunque la corrección de proximidad óptica (OPC) , incluidas las características de asistencia mencionadas anteriormente, también se pueden aplicar para abordar las aberraciones, [157] [158] también se retroalimentan en la especificación de iluminación, [159] [156] [160] [161] ya que los beneficios difieren para diferentes condiciones de iluminación. [157] Esto requeriría el uso de diferentes combinaciones de fuente-máscara en cada posición de ranura, es decir, múltiples exposiciones de máscara por capa. [121] [162]

Las aberraciones cromáticas mencionadas anteriormente, debido a la apodización inducida por la máscara, [130] también conducen a optimizaciones inconsistentes de la fuente-máscara para diferentes longitudes de onda.

Ventanas de enfoque dependientes del paso

El mejor enfoque para un tamaño de característica dado varía como una función fuerte del paso, la polaridad y la orientación bajo una iluminación dada. [163] En un paso de 36 nm, las características de campo oscuro horizontales y verticales tienen más de 30 nm de diferencia de enfoque. Las características de paso de 34 nm y 48 nm tienen la mayor diferencia de mejor enfoque independientemente del tipo de característica. En el rango de paso de 48-64 nm, la mejor posición de enfoque cambia aproximadamente linealmente como una función del paso, hasta 10-20 nm. [164] Para el rango de paso de 34-48 nm, la mejor posición de enfoque cambia aproximadamente linealmente en la dirección opuesta como una función del paso. Esto puede correlacionarse con la diferencia de fase entre los órdenes de difracción cero y primero. [165] Se encontró que las características de asistencia, si pueden encajar dentro del paso, no reducen mucho esta tendencia, para un rango de pasos intermedios, [166] o incluso la empeoran para el caso de 18-27 nm e iluminación de cuásar. [167] Los orificios de contacto de 50 nm en pasos de 100 nm y 150 nm tenían posiciones de mejor enfoque separadas por aproximadamente 25 nm; se espera que las características más pequeñas sean peores. [168] Los orificios de contacto en el rango de paso de 48 a 100 nm mostraron un rango de mejor enfoque de 37 nm. [169] La mejor posición de enfoque en función del paso también depende de la resistencia. [170] Las capas críticas a menudo contienen líneas en un paso mínimo de una polaridad, por ejemplo, trincheras de campo oscuro, en una orientación, por ejemplo, vertical, mezcladas con espacios de la otra polaridad de la otra orientación. Esto a menudo magnifica las mejores diferencias de enfoque y desafía la obtención de imágenes de punta a punta y de punta a línea. [171]

Reducción del llenado pupilar

La rotación de la pupila a través de la rendija fuerza el uso de un relleno de pupila mucho más bajo (dentro de los trapecios o rectángulos) para la iluminación dipolar.

Una consecuencia de la SMO y del cambio de ventanas de enfoque ha sido la reducción del llenado de pupila. En otras palabras, la iluminación óptima es necesariamente una superposición optimizada de las iluminaciones preferidas para los diversos patrones que se deben considerar. Esto lleva a que un llenado de pupila menor proporcione mejores resultados. Sin embargo, el rendimiento se ve afectado por debajo del 20 % de llenado de pupila debido a la absorción. [172] [173] [54]

Máscaras de cambio de fase

Perfil de fase de una máscara de cambio de fase atenuada para EUV. El perfil de fase (rojo) de una máscara de cambio de fase atenuada utilizada con un absorbedor de EUV que transmite parcialmente no coincide con el diseño de perfil ideal (punteado), debido a la iluminación de incidencia oblicua y la dispersión del borde del absorbedor.

Una ventaja comúnmente promocionada de EUV ha sido la relativa facilidad de la litografía, como lo indica la relación entre el tamaño de la característica y la longitud de onda multiplicada por la apertura numérica, también conocida como la relación k1. Un ancho de línea de metal de 18 nm tiene un k1 de 0,44 para una longitud de onda de 13,5 nm, 0,33 NA, por ejemplo. Para un k1 cercano a 0,5, se ha utilizado una mejora de resolución débil que incluye máscaras de cambio de fase atenuadas como esencial para la producción con la longitud de onda del láser ArF (193 nm), [174] [175] [176 ] [177 ] [178] [179] mientras que esta mejora de resolución no está disponible para EUV. [180] [181] [182] En particular, los efectos de máscara 3D que incluyen dispersión en los bordes del absorbedor distorsionan el perfil de fase deseado. [181] Además, el perfil de fase se deriva efectivamente del espectro de onda plana reflejado desde la multicapa a través del absorbedor en lugar de la onda plana incidente. [183] ​​Sin absorbentes, también se produce distorsión de campo cercano en una pared lateral multicapa grabada debido a la iluminación de incidencia oblicua; [184] algo de luz atraviesa solo un número limitado de bicapas cerca de la pared lateral. [68] Además, las diferentes polarizaciones (TE y TM) tienen diferentes cambios de fase. [68] Fundamentalmente, una máscara de cambio de fase sin cromo permite la división de tono mediante la supresión del orden difractado cero en la máscara, pero fabricar una máscara de cambio de fase de alta calidad para EUV ciertamente no es una tarea trivial. Una forma posible de lograr esto es a través del filtrado espacial en el plano de Fourier del patrón de máscara. En el Laboratorio Nacional Lawrence Berkeley, la luz del orden cero es un sistema oscurecido centralmente, y los órdenes difractados +/-1 serán capturados por la apertura transparente, proporcionando un equivalente funcional a la máscara de cambio de fase sin cromo mientras se usa una máscara de amplitud binaria convencional. [185]

Exposición a fotorresistencia EUV: el papel de los electrones

La luz ultravioleta extrema genera fotoelectrones al ser absorbida por la materia. Estos fotoelectrones, a su vez, generan electrones secundarios, que se ralentizan antes de participar en reacciones químicas. [186] Se sabe que, en dosis suficientes, los electrones de 40 eV penetran una capa protectora de 180 nm de espesor, lo que conduce al revelado. [187] Con una dosis de 160 μC/cm 2 , que corresponde a una dosis ultravioleta extrema de 15 mJ/cm 2 suponiendo un electrón/fotón, 30 eV eliminan 7 nm de la capa protectora de PMMA después del revelado estándar. [188] Para una dosis superior de 30 eV de 380 μC/cm 2 , equivalente a 36 mJ/cm 2 con un electrón/fotón, se eliminan 10,4 nm de la capa protectora de PMMA. [189] Estos indican las distancias que pueden viajar los electrones en la capa protectora, independientemente de la dirección. [190]

Se ha demostrado que el grado de emisión de fotoelectrones de la capa subyacente a la fotorresistencia EUV afecta la profundidad de enfoque. [191] Desafortunadamente, las capas de máscara dura tienden a aumentar la emisión de fotoelectrones, degradando la profundidad de enfoque. Los electrones de las imágenes desenfocadas en la fotorresistencia también pueden afectar la mejor imagen enfocada. [192]

La aleatoriedad del número de electrones secundarios es en sí misma una fuente de comportamiento estocástico en las imágenes de resistencias EUV. La longitud de escala del desenfoque de electrones en sí tiene una distribución. [193] Intel demostró con una simulación rigurosa que los electrones liberados por EUV se dispersan a distancias mayores de 15 nm en las resistencias EUV. [194]

El desenfoque de los electrones también se ve afectado por la reflexión interna total desde la superficie superior de la película resistente. [195] [196]

Efecto de las capas subyacentes

Los electrones de las capas debajo de la resistencia pueden afectar el perfil y el inicio del colapso.

Los electrones secundarios de las capas que se encuentran debajo de la capa protectora pueden afectar el perfil de la capa protectora y también pueden provocar el colapso del patrón. [197] Por lo tanto, la selección de la capa subyacente y de la capa debajo de esa capa son consideraciones importantes para la litografía EUV. Además, los electrones de las imágenes desenfocadas pueden agravar la naturaleza estocástica de la imagen. [198]

Efectos de la contaminación

Resistir la desgasificación

Contaminación por desgasificación vs. dosis EUV: el aumento de la dosis en relación con el tamaño ( tamaño E ) para reducir el ruido y la rugosidad de los disparos tiene como consecuencia una mayor contaminación por desgasificación . El espesor de la contaminación que se muestra aquí es relativo a una resistencia de referencia.

Debido a la alta eficiencia de absorción de EUV por parte de las fotorresistencias, el calentamiento y la desgasificación se convierten en preocupaciones principales. Un problema bien conocido es la deposición de contaminación en la resistencia a partir de hidrocarburos ambientales o desgasificados, que resulta de reacciones impulsadas por EUV o electrones. [199] Las fotorresistencias orgánicas desgasifican hidrocarburos [200] mientras que las fotorresistencias de óxido metálico desgasifican agua y oxígeno [201] y metal (en un ambiente de hidrógeno); este último no se puede limpiar. [52] Se sabe que la contaminación por carbono afecta la reflectividad multicapa [202] mientras que el oxígeno es particularmente dañino para las capas de recubrimiento de rutenio (relativamente estables en condiciones de EUV e hidrógeno) en la óptica multicapa de EUV. [203]

Redeposición de estaño

El hidrógeno atómico en las cámaras de herramientas se utiliza para limpiar el estaño y el carbono que se depositan en las superficies ópticas EUV. [204] El hidrógeno atómico se produce mediante la luz EUV que fotoioniza directamente el H 2 : [205]

hν + H 2 → H + + H + e .

Los electrones generados en la reacción anterior también pueden disociar H 2 para formar hidrógeno atómico: [205]

y + H 2 → H + + H + 2e .

La reacción con el estaño en la fuente de luz (por ejemplo, el estaño en una superficie óptica en la fuente) para formar SnH 4 volátil ( estano ) que se puede bombear desde la fuente se lleva a cabo a través de la reacción [204]

Sn(s) + 4H(g) → SnH 4 (g).

El SnH 4 puede alcanzar los recubrimientos de otras superficies ópticas EUV, donde redeposita Sn a través de la reacción [204]

SnH4 → Sn(s) + 2 H2 ( g ).

La redeposición también puede ocurrir mediante otras reacciones intermedias. [206]

El Sn redepositado [51] [52] podría eliminarse posteriormente mediante exposición al hidrógeno atómico. Sin embargo, en general, la eficiencia de limpieza del estaño (la relación entre el flujo de estaño eliminado de una muestra de estaño y el flujo de hidrógeno atómico de la muestra de estaño) es inferior al 0,01 %, debido tanto a la redeposición como a la desorción de hidrógeno, lo que conduce a la formación de moléculas de hidrógeno a expensas del hidrógeno atómico. [204] La eficiencia de limpieza del estaño para el óxido de estaño es aproximadamente el doble que la del estaño (con una capa de óxido nativo de ~2 nm sobre él). [204] La inyección de una pequeña cantidad de oxígeno a la fuente de luz puede mejorar la tasa de limpieza del estaño.

Ampollas de hidrógeno

Defectos de formación de ampollas inducidos por hidrógeno. El hidrógeno atómico (puntos rojos) utilizado para limpiar superficies puede penetrar por debajo de la superficie. En las multicapas de Mo/Si, se forma H2 ( puntos rojos pareados) y queda atrapado, lo que da lugar a la formación de ampollas (región blanca).

El hidrógeno también reacciona con compuestos que contienen metales para reducirlos a metal, [207] y se difunde a través del silicio [208] y el molibdeno [209] en la multicapa, lo que eventualmente causa ampollas. [210] [211] [212] Las capas de recubrimiento que mitigan el daño relacionado con el hidrógeno a menudo reducen la reflectividad muy por debajo del 70%. [211] Se sabe que las capas de recubrimiento son permeables a los gases ambientales, incluido el oxígeno [213] y el hidrógeno, [214] [215] [216] [217] así como susceptibles a los defectos de ampollas inducidos por el hidrógeno. [218] [210] El hidrógeno también puede reaccionar con la capa de recubrimiento, lo que resulta en su eliminación. [219]

Escupir estaño

El hidrógeno puede penetrar el estaño fundido (Sn) y crear burbujas de hidrógeno en su interior. Si las burbujas se mueven en la superficie del estaño fundido, estallan con estaño, lo que hace que el estaño se esparza en un amplio rango de ángulos. Este fenómeno se denomina salpicadura de estaño y es una de las fuentes de contaminación del colector EUV.

Resistir la erosión

El hidrógeno también reacciona con las resinas para grabarlas [220] [221] o descomponerlas [222] . Además de las resinas fotorresistentes, los plasmas de hidrógeno también pueden grabar el silicio, aunque muy lentamente. [223] [ se necesita una fuente no primaria ]

Membrana

Para ayudar a mitigar los efectos anteriores, la última herramienta EUV introducida en 2017, la NXE:3400B, cuenta con una membrana que separa la oblea de la óptica de proyección de la herramienta, protegiendo a esta última de la desgasificación de la resistencia en la oblea. [54] La membrana contiene capas que absorben la radiación DUV e IR, y transmite el 85-90% de la radiación EUV incidente. Por supuesto, existe contaminación acumulada por la desgasificación de la oblea, así como partículas en general (aunque estas últimas están fuera de foco, aún pueden obstruir la luz).

Plasma inducido por EUV

La carga de electrones del plasma inducido por EUV ocurre incluso fuera del área de exposición a EUV (bordes morados)

Los sistemas litográficos EUV que utilizan luz EUV funcionan en un fondo de gas hidrógeno de 1 a 10 Pa. [224] El plasma es una fuente de radiación VUV [225] así como de electrones e iones de hidrógeno [226] . Se sabe que este plasma graba los materiales expuestos. [226] [227]

En 2023, se publicó un estudio respaldado por TSMC que indicó una carga neta por electrones del plasma, así como por emisión de electrones. [228] Se descubrió que la carga se producía incluso fuera del área de exposición EUV, lo que indica que el área circundante había estado expuesta a electrones.

Debido a la pulverización química del carbono por el plasma de hidrógeno, [229] puede generarse nanopartículas, [230] que pueden obstruir la exposición a la resistencia EUV. [231] [232]

Defectos de la máscara

Imprimibilidad de defectos EUV vs. paso. La imprimibilidad (aquí 10 % CD) de un defecto de una altura y un ancho determinados varía con el paso. Tenga en cuenta que incluso la rugosidad de la superficie de la capa multicapa puede tener un impacto notable.

La reducción de defectos en máscaras ultravioleta extremas (EUV) es actualmente uno de los problemas más críticos que se deben abordar para la comercialización de la litografía EUV. [233] Los defectos pueden estar enterrados debajo o dentro de la pila multicapa [234] o estar en la parte superior de la pila multicapa. Se forman mesas o protuberancias en los objetivos de pulverización catódica utilizados para la deposición multicapa, que pueden caer como partículas durante la deposición multicapa. [235] De hecho, los defectos de altura de escala atómica (0,3-0,5 nm) con 100 nm FWHM aún pueden imprimirse al exhibir un impacto de CD del 10%. [236] IBM y Toppan informaron en Photomask Japan 2015 que los defectos más pequeños, por ejemplo, de tamaño de 50 nm, pueden tener un impacto de CD del 10% incluso con una altura de 0,6 nm, y aún así permanecer indetectables. [237]

Además, el borde de un defecto de fase reducirá aún más la reflectividad en más del 10 % si su desviación de la planitud supera los 3 grados, debido a la desviación del ángulo de incidencia objetivo de 84 grados con respecto a la superficie. Incluso si la altura del defecto es baja, el borde deforma la multicapa suprayacente, lo que produce una región extendida donde la multicapa está inclinada. Cuanto más abrupta sea la deformación, más estrecha sea la extensión del borde del defecto y mayor será la pérdida de reflectividad.

La reparación de defectos de máscara EUV también es más complicada debido a la variación de iluminación a través de la rendija mencionada anteriormente. Debido a la variación de la sensibilidad de sombreado a lo largo de la rendija, la altura de deposición de reparación debe controlarse con mucho cuidado, siendo diferente en diferentes posiciones a lo largo de la rendija de iluminación de la máscara EUV. [238]

Variaciones aleatorias de reflectividad multicapa

GlobalFoundries y Lawrence Berkeley Labs llevaron a cabo un estudio de Monte Carlo para simular los efectos de la mezcla entre las capas de molibdeno (Mo) y silicio (Si) en la multicapa que se utiliza para reflejar la luz EUV desde la máscara EUV. [239] Los resultados indicaron una alta sensibilidad a las variaciones a escala atómica del espesor de la capa. Dichas variaciones no se pudieron detectar mediante mediciones de reflectividad de área amplia, pero serían significativas en la escala de la dimensión crítica (CD). [239] La variación local de la reflectividad podría ser del orden del 10% para una desviación estándar de unos pocos nm. [240]

Daño multicapa

Múltiples pulsos EUV a menos de 10 mJ/cm 2 podrían acumular daños en un elemento óptico de espejo multicapa de Mo/Si cubierto con Ru. [241] El ángulo de incidencia fue de 16° o 0,28 rads, que está dentro del rango de ángulos para un sistema óptico de NA 0,33.

Películas

Las herramientas de producción de EUV necesitan una película para proteger la máscara de la contaminación. Normalmente se espera que las películas protejan la máscara de las partículas durante el transporte, la entrada o salida de la cámara de exposición, así como la exposición misma. Sin películas, los aditivos de partículas reducirían el rendimiento, lo que no ha sido un problema para la litografía óptica convencional con luz de 193 nm y películas. Sin embargo, para EUV, la viabilidad del uso de películas se ve seriamente desafiada, debido a la delgadez requerida de las películas de protección para evitar la absorción excesiva de EUV. La contaminación por partículas sería prohibitiva si las películas no fueran estables por encima de los 200 W, es decir, la potencia objetivo para la fabricación. [242]

El calentamiento de la película de máscara EUV (temperatura de la película de hasta 750 K para una potencia incidente de 80 W) es una preocupación importante, debido a la deformación resultante y la disminución de la transmisión. [243] ASML desarrolló una membrana de película de polisilicio de 70 nm de espesor, que permite una transmisión EUV del 82%; sin embargo, menos de la mitad de las membranas sobrevivieron a los niveles de potencia EUV esperados. [244] Las membranas de película de SiNx también fallaron a niveles de potencia de fuente EUV equivalentes a 82 W. [245] En los niveles objetivo de 250 W, se espera que la película alcance los 686 grados Celsius, [246] muy por encima del punto de fusión del aluminio. Los materiales alternativos deben permitir una transmisión suficiente y mantener la estabilidad mecánica y térmica. Sin embargo, el grafito, el grafeno u otros nanomateriales de carbono (nanoláminas, nanotubos) son dañados por EUV debido a la liberación de electrones [247] y también se graban con demasiada facilidad en el plasma de limpieza de hidrógeno que se espera que se implemente en los escáneres EUV. [248] Los plasmas de hidrógeno también pueden grabar silicio. [249] [250] Un recubrimiento ayuda a mejorar la resistencia al hidrógeno, pero esto reduce la transmisión y/o emisividad, y también puede afectar la estabilidad mecánica (por ejemplo, abultamiento). [251]

Las arrugas en las películas pueden causar falta de uniformidad en la CD debido a una absorción desigual; esto es peor para las arrugas más pequeñas y la iluminación más coherente, es decir, menor llenado de la pupila. [252]

En ausencia de películas, la limpieza de la máscara EUV debería comprobarse antes de exponer las obleas del producto real, utilizando obleas especialmente preparadas para la inspección de defectos. [253] Estas obleas se inspeccionan después de la impresión para detectar defectos repetidos que indiquen una máscara sucia; si se encuentra alguno, la máscara debe limpiarse y se expone otro conjunto de obleas de inspección, repitiendo el flujo hasta que la máscara esté limpia. Cualquier oblea del producto afectada debe volver a procesarse.

TSMC informó que comenzó a usar de forma limitada su propia película en 2019 y que continuó expandiéndose posteriormente, [254] y Samsung está planeando la introducción de la película en 2022. [255]

Defectos de abultamiento de hidrógeno

Como se ha comentado anteriormente, en relación con la eliminación de la contaminación, el hidrógeno utilizado en los sistemas EUV recientes puede penetrar en las capas de la máscara EUV. TSMC indicó en su patente que el hidrógeno entraría por el borde de la máscara. [256] Una vez atrapado, se producían defectos de abultamiento o ampollas, [218] que podían provocar el desprendimiento de la película. [256] Se trata esencialmente de los defectos de ampollas que surgen después de una cantidad suficiente de exposiciones a la máscara EUV en el entorno de hidrógeno.

Problemas estocásticos de EUV

El ruido de disparo provoca variaciones significativas en el CD

La litografía EUV es particularmente sensible a los efectos estocásticos. [257] [258] En una gran población de características impresas por EUV, aunque la gran mayoría están resueltas, algunas sufren fallas totales en la impresión, por ejemplo, agujeros faltantes o líneas de puenteo. Una contribución significativa conocida a este efecto es la dosis utilizada para imprimir. [259] Esto está relacionado con el ruido de disparo , que se analizará más adelante. Debido a las variaciones estocásticas en los números de fotones que llegan, algunas áreas designadas para imprimir en realidad no alcanzan el umbral para imprimir, dejando regiones defectuosas sin exponer. Algunas áreas pueden estar sobreexpuestas, lo que lleva a una pérdida excesiva de resistencia o reticulación. La probabilidad de falla estocástica aumenta exponencialmente a medida que disminuye el tamaño de la característica, y para el mismo tamaño de característica, aumentar la distancia entre características también aumenta significativamente la probabilidad. [259] Los cortes de línea que están deformados son un problema importante debido a la posibilidad de arcos eléctricos y cortocircuitos. [260] El rendimiento requiere la detección de fallas estocásticas por debajo de 1e-12. [259]

La tendencia a los defectos estocásticos es peor cuando el desenfoque se produce sobre una pupila grande. [261] [262]

Los defectos estocásticos tienden a producirse cuando hay una variación estocástica de la dosis absorbida cerca de la dosis umbral. Estos defectos se indican por el lugar donde tienden a acumularse las motas azules.

Pueden existir múltiples modos de falla para la misma población. Por ejemplo, además de la formación de puentes entre zanjas, las líneas que separan las zanjas pueden estar rotas. [259] Esto puede atribuirse a la pérdida de resistencia estocástica, [257] de los electrones secundarios. [263] [264] La aleatoriedad del número de electrones secundarios es en sí misma una fuente de comportamiento estocástico en las imágenes de resistencia EUV.

La coexistencia de regiones de defectos subexpuestas y sobreexpuestas estocásticamente conduce a una pérdida de ventana de dosis en un cierto nivel de defecto posterior al grabado entre los acantilados de patrones de dosis baja y dosis alta. [265] Por lo tanto, se pierde el beneficio de resolución de la longitud de onda más corta.

La capa inferior de resina también juega un papel importante. [259] Esto podría deberse a los electrones secundarios generados por la capa inferior. [266] Los electrones secundarios pueden eliminar más de 10 nm de resina del borde expuesto. [263] [267]

El nivel de defectos es del orden de 1K/mm2 . [ 268] En 2020, Samsung informó que los diseños de 5 nm tenían riesgos de defectos de proceso y había comenzado a implementar controles y reparaciones automatizados. [269]

El ruido de disparo de fotones también produce un error estocástico en la colocación de los bordes. [270] El ruido de disparo de fotones aumenta hasta cierto punto por factores de desenfoque, como electrones secundarios o ácidos en resinas químicamente amplificadas; cuando es significativo, el desenfoque también reduce el contraste de la imagen en el borde. Se midió un error de colocación de los bordes (EPE) de hasta 8,8 nm para un patrón de metal impreso con EUV con un paso de 48 nm. [271]

La posición del extremo de la línea se ve afectada por el ruido de disparo. La variación natural del número de fotones EUV puede provocar que la posición del extremo de la línea se desplace.

Con la distribución natural de Poisson debido a los tiempos aleatorios de llegada y absorción de los fotones, [272] [273] hay una variación natural esperada de la dosis (número de fotones) de al menos varios por ciento 3 sigma, lo que hace que el proceso de exposición sea susceptible a variaciones estocásticas. La variación de la dosis conduce a una variación de la posición del borde de la característica, convirtiéndose efectivamente en un componente borroso. A diferencia del límite de resolución duro impuesto por la difracción, el ruido de disparo impone un límite más suave, siendo la directriz principal la especificación de rugosidad del ancho de línea (LWR) de ITRS del 8% (3 s) del ancho de línea. [274] Aumentar la dosis reducirá el ruido de disparo, [275] pero esto también requiere una mayor potencia de fuente.

Los dos problemas del ruido de disparo y los electrones liberados por EUV señalan dos factores limitantes: 1) mantener la dosis lo suficientemente alta para reducir el ruido de disparo a niveles tolerables, pero también 2) evitar una dosis demasiado alta debido a la mayor contribución de los fotoelectrones liberados por EUV y los electrones secundarios al proceso de exposición de la resina, lo que aumenta el desenfoque de los bordes y, por lo tanto, limita la resolución. Aparte del impacto en la resolución, una dosis más alta también aumenta la desgasificación [276] y limita el rendimiento, y la reticulación [277] ocurre a niveles de dosis muy altos. Para las resinas amplificadas químicamente, la exposición a una dosis más alta también aumenta la rugosidad de los bordes de las líneas debido a la descomposición del generador de ácido. [278]

Incluso con una mayor absorción a la misma dosis, la EUV presenta un mayor problema de ruido de disparo que la longitud de onda ArF (193 nm), principalmente porque se aplica a resistencias más delgadas. [279]

Debido a consideraciones estocásticas, la hoja de ruta de litografía IRDS 2022 ahora reconoce dosis crecientes para tamaños de características más pequeños. [280]

La resolución EUV probablemente se verá comprometida por efectos estocásticos. Las densidades de defectos estocásticos han excedido 1/cm 2 , en un paso de 36 nm. [281] [282] En 2024, una exposición de resistencia EUV por ASML reveló un piso de densidad de defectos de orificios de contacto de paso de 32 nm faltante + puente >0,25/cm 2 (177 defectos por oblea), empeorado con una resistencia más delgada. [283] ASML indicó que el paso de 30 nm no usaría exposición directa sino patrón doble. [284] Intel no usó EUV para un paso de 30 nm. [285]

Relación de llenado de pupilas

Para tonos menores a la mitad de la longitud de onda dividida por la apertura numérica, es necesaria la iluminación dipolar. Esta iluminación llena como máximo un área con forma de hoja en el borde de la pupila. Sin embargo, debido a los efectos 3D en la máscara EUV, [286] tonos más pequeños requieren porciones aún más pequeñas de esta forma de hoja. Por debajo del 20% de la pupila, el rendimiento y la estabilidad de la dosis comienzan a verse afectados. [54] Una apertura numérica más alta permite utilizar un relleno de pupila más alto para el mismo tono, pero la profundidad de enfoque se reduce significativamente. [287]

Uso con patrones múltiples

Se anticipa que EUV utilizará doble patrón en alrededor de 34 nm de paso con 0,33 NA. [288] [289] Esta resolución es equivalente a '1Y' para DRAM. [290] [291] En 2020, ASML informó que la capa M0 de 5 nm (paso mínimo de 30 nm) requería doble patrón. [284] En el segundo semestre de 2018, TSMC confirmó que su esquema EUV de 5 nm todavía usaba patrones múltiples , [292] indicando también que el recuento de máscaras no disminuyó desde su nodo de 7 nm, que usaba patrones múltiples DUV extensos, a su nodo de 5 nm, que usaba EUV extenso. [293] Los proveedores de EDA también indicaron el uso continuo de flujos de patrones múltiples. [294] [295] Si bien Samsung introdujo su propio proceso de 7 nm con patrón único EUV, [296] encontró un ruido de disparo de fotones severo que causaba una rugosidad de línea excesiva, lo que requería una dosis más alta, lo que resultaba en un menor rendimiento. [272] El nodo de 5 nm de TSMC utiliza reglas de diseño aún más estrictas. [297] Samsung indicó que las dimensiones más pequeñas tendrían un ruido de disparo más severo. [272]

Con un espaciado de centro a centro de 38 nm o menos, una herramienta EUV de NA 0,33 requeriría un patrón doble o incluso triple para la capa de contacto o de vía.

En el esquema de litografía complementaria de Intel a medio paso de 20 nm, la EUV se utilizaría solo en una segunda exposición de corte de línea después de una primera exposición de impresión de línea de 193 nm. [298]

También se esperarían exposiciones múltiples donde dos o más patrones en la misma capa, por ejemplo, diferentes tonos o anchos, deben usar diferentes formas optimizadas de pupila de fuente. [299] [300] [301] [302] Por ejemplo, al considerar una matriz de barras escalonadas de 64 nm de tono vertical, cambiar el tono horizontal de 64 nm a 90 nm cambia significativamente la iluminación optimizada. [55] La optimización de la máscara de fuente que se basa solo en rejillas de espacio de línea y rejillas de punta a punta no implica mejoras para todas las partes de un patrón lógico, por ejemplo, una zanja densa con un espacio en un lado. [299] [303]

En 2020, ASML informó que, para el nodo de 3 nm, los espaciamientos de contacto/vía de centro a centro de 40 nm o menos requerirían un patrón doble o triple para algunas disposiciones de contacto/vía. [304]

Para el paso de metal de 24 a 36 nm, se encontró que el uso de EUV como una (segunda) exposición de corte tenía una ventana de proceso significativamente más amplia que como una exposición única completa para la capa de metal. [305] [299]

También se esperan múltiples exposiciones de la misma máscara para la gestión de defectos sin películas, lo que limita la productividad de manera similar a la creación de patrones múltiples. [253]

El litograbado-litograbado autoalineado (SALELE) es una técnica híbrida SADP/LELE cuya implementación ha comenzado en 7 nm. [306] El litograbado-litograbado autoalineado (SALELE) se ha convertido en una forma aceptada de doble patrón para ser utilizado con EUV. [307]

Extensión de patrón único: alta NA anamórfica

Los lóbulos laterales presentan un mayor riesgo con variaciones estocásticas, a una determinada distancia de centro a centro. Este riesgo es elevado debido al oscurecimiento central en los sistemas EUV de alta apertura numérica.

Sería posible volver a generaciones extendidas de patrones únicos con herramientas de mayor apertura numérica (NA). Una NA de 0,45 podría requerir un resintonizado de unos pocos porcentajes. [308] Aumentar la demagnificación podría evitar este resintonizado, pero el tamaño de campo reducido afecta gravemente a los patrones grandes (una matriz por campo de 26 mm × 33 mm) como los chips Xeon de 14 nm de transistores de varios núcleos y miles de millones de núcleos. [309] al requerir la unión de campos de dos exposiciones de máscara.

En 2015, ASML reveló detalles de su escáner EUV anamórfico de próxima generación, con una NA de 0,55. Estas máquinas cuestan alrededor de 360 ​​millones de dólares. [33] La demagnificación se incrementa de 4× a 8× solo en una dirección (en el plano de incidencia). [310] Sin embargo, la NA de 0,55 tiene una profundidad de foco mucho menor que la litografía de inmersión. [311] Además, se ha descubierto que una herramienta anamórfica de NA de 0,52 presenta demasiada variabilidad de CD y de colocación para la exposición única de nodos de 5 nm y el corte de múltiples patrones. [312]

La profundidad de enfoque [313] que se reduce al aumentar la NA también es un problema, [314] especialmente en comparación con las exposiciones de patrones múltiples que utilizan litografía de inmersión de 193 nm:

Las herramientas EUV de alta NA también sufren de oscurecimiento, lo que puede causar errores en la obtención de imágenes de ciertos patrones. [315]

Se espera que las primeras herramientas de alta NA estén disponibles en Intel como muy pronto en 2025. [316]

Para los nodos de menos de 2 nm, los sistemas EUV de alta NA se verán afectados por una serie de problemas: rendimiento, nuevas máscaras, polarización, resistencias más delgadas y desenfoque y aleatoriedad de los electrones secundarios. [317] La ​​profundidad de enfoque reducida requiere un espesor de resistencia menor a 30 nm, lo que a su vez aumenta los efectos estocásticos, debido a la absorción reducida de fotones.

Se estima que el desenfoque de electrones es de al menos ~2 nm, lo que es suficiente para frustrar el beneficio de la litografía EUV de alta NA. [318] [319]

Además de la alta NA, ASML anunció en 2024 planes para el desarrollo de una herramienta EUV de hiper-NA con una NA superior a 0,55, como una NA de 0,75 o 0,85. [320] [321] Estas máquinas podrían costar 720 millones de dólares cada una y se espera que estén disponibles en 2030. [33] Un problema con Hyper-NA es la polarización de la luz EUV que provoca una reducción en el contraste de la imagen. [320] [322]

Más allá de la longitud de onda EUV

Una longitud de onda mucho más corta (~6,7 nm) estaría más allá del EUV, y a menudo se la denomina BEUV (más allá del ultravioleta extremo). [323] Con la tecnología actual, las longitudes de onda BEUV tendrían peores efectos de ruido de disparo sin garantizar una dosis suficiente. [324]

Referencias

  1. ^ O'Sullivan, Gerry; Li, Bowen; D'Arcy, Rebekah; Dunne, Padraig; Hayden, Paddy; Kilbane, Deirdre; McCormack, Tom; Ohashi, Hayato; O'Reilly, Fergal; Sheridan, Paul; Sokell, Emma; Suzuki, Chihiro; Higashiguchi, Takeshi (2015). "Espectroscopia de iones altamente cargados y su relevancia para el desarrollo de fuentes de rayos X suaves y EUV". Journal of Physics B: Atomic, Molecular and Optical Physics . 48 (144025): 144025. Bibcode :2015JPhB...48n4025O. doi :10.1088/0953-4075/48/14/144025. S2CID  124221931.
  2. ^ Bjorkholm, J.; Bokor, J.; Eichner, L.; Freeman, R.; Mansfield, W.; Szeto, L.; Taylor, D.; Tennant, D.; Wood II, O.; Jewell, T.; White, D.; Waskiewicz, W.; Windt, D.; MacDowell, A. (1991). "Litografía de proyección de rayos X suaves". Noticias de Óptica y Fotónica . 2 (5): 27. doi :10.1364/OPN.2.5.000027.
  3. ^ "Fabricando EUV: del laboratorio a la fábrica". 30 de marzo de 2022.
  4. ^ Bakshi, Vivek, ed. (2018). Litografía EUV . SPIE PM (segunda edición). Bellingham, Washington, EE. UU.: SPIE Press. ISBN 978-1-5106-1679-0.
  5. ^ "EE. UU. da el visto bueno a ASML sobre el proyecto EUV". EE Times . 24 de febrero de 1999 . Consultado el 17 de mayo de 2023 .
  6. ^ "Historia". ASML .
  7. ^ "Dentro de la máquina que salvó la Ley de Moore".
  8. ^ Sascha Migura (2018). "Óptica para litografía EUV" (PDF) . euvlitho.com . Consultado el 17 de mayo de 2023 .
  9. ^ "El punto de estrangulamiento de Chip TheWire China". 8 de febrero de 2021.
  10. ^ "Tamaño del mercado de litografía ultravioleta extrema (EUV) y pronóstico hasta 2032". PragmaMarketResearch . Consultado el 4 de julio de 2024 .
  11. ^ Anton Shilov (28 de enero de 2019). "ASML enviará 30 escáneres EUV en 2019: herramientas EUV más rápidas en camino". AnandTech .
  12. ^ "Descripción general de la metrología de máscaras EUV" (PDF) . Archivado desde el original (PDF) el 2017-06-02 . Consultado el 2019-06-23 .
  13. ^ abcd https://www.semiconductor-digest.com/wp-content/uploads/2020/02/SST-Oct-2013.pdf [ URL básica PDF ]
  14. ^ Montcalm, C. (10 de marzo de 1998). Recubrimientos reflectantes multicapa para litografía ultravioleta extrema . 23. Conferencia anual del simposio internacional sobre microlitografía de SPIE, Santa Clara, CA (Estados Unidos), 22-27 de febrero de 1998. OSTI  310916.
  15. ^ Krome, Thorsten; Schmidt, Jonas; Nesládek, Pavel (2018). "Integridad de la capa de recubrimiento EUV". En Takehisa, Kiwamu (ed.). Photomask Japan 2018: XXV Simposio sobre tecnología de máscaras de litografía y fotomáscaras de próxima generación . pág. 8. doi :10.1117/12.2324670. ISBN . 978-1-5106-2201-2.S2CID139227547  .​
  16. ^ US 20030008180A1, Sasa Bajt; James Folta y Eberhard Spiller, "Capas de recubrimiento optimizadas para multicapas EUV", publicado el 9 de enero de 2003  .
  17. ^ "Next EUV Issue: Mask 3D Effects" (Próximo número de EUV: efectos de máscara 3D). Ingeniería de semiconductores . 25 de abril de 2018.
  18. ^ "Se avecina una batalla por el uso de máscaras de gas EUV". Ingeniería de semiconductores . 15 de noviembre de 2018.
  19. ^ Matsumoto, Hiroshi; Yamaguchi, Keisuke; Kimura, Hayato; Nakayamada, Noriaki (23 de agosto de 2021). "Escritor de máscaras multihaz, MBM-2000". En Ando, ​​Akihiko (ed.). Photomask Japan 2021: XXVII Simposio sobre tecnología de máscaras de litografía y fotomáscaras de próxima generación . Vol. 11908. SPIE. págs. 175–180. doi :10.1117/12.2604378. ISBN . 9781510646858. S2CID  233503067 – a través de www.spiedigitallibrary.org.
  20. ^ Waiblinger, M.; Kornilov, K.; Hofmann, T.; Edinger, K. (15 de mayo de 2010). "Reparación de fotomáscara EUV inducida por haz de electrones: una combinación perfecta". En Behringer, Uwe FW; Maurer, Wilhelm (eds.). 26.ª Conferencia Europea de Máscaras y Litografía . Vol. 7545. SPIE. págs. 243–250. doi :10.1117/12.863542. S2CID  137488152 – vía www.spiedigitallibrary.org. {{cite book}}: |journal=ignorado ( ayuda )
  21. ^ Litografía EUV. SPIE Press. 2009. ISBN 978-0-8194-6964-9.
  22. ^ Manual de tecnología de fabricación de fotomáscaras. CRC Press. 3 de octubre de 2018. ISBN 978-1-4200-2878-2.
  23. ^ Tomie, Toshihisa (21 de mayo de 2012). "Plasma producido con láser de estaño como fuente de luz para la fabricación en gran volumen de litografía ultravioleta extrema: historia, plasma ideal, estado actual y perspectivas". Revista de micro/nanolitografía, MEMS y MOEMS . 11 (2): 021109–1. doi : 10.1117/1.JMM.11.2.021109 . ISSN  1932-5150.
  24. ^ Elg, Daniel T.; Sporre, John R.; Panici, Gianluca A.; Srivastava, Shailendra N.; Ruzic, David N. (2016). "Limpieza de colectores in situ y restauración de reflectividad ultravioleta extrema mediante plasma de hidrógeno para fuentes ultravioleta extremas" (PDF) . Journal of Vacuum Science & Technology A . 34 (21305): 021305. Bibcode :2016JVSTA..34b1305E. doi :10.1116/1.4942456.
  25. ^ Bosgra, Jeroen; Zoethout, Erwin; van der Eerden, Ad MJ; Verhoeven, enero; van de Kruijs, Robbert WE; Yakshin, Andrey E.; Bijkerk, Fred (2012). "Propiedades estructurales de capas Y de espesor subnanométrico en espejos multicapa ultravioleta extremo". Óptica Aplicada . 51 (36): 8541–8548. Código Bib : 2012ApOpt..51.8541B. doi :10.1364/AO.51.008541. PMID  23262592.
  26. ^ H. Komori y otros. , Proc. SPIE 5374, págs. 839–846 (2004).
  27. ^ BAM Hansson y col. , Proc. SPIE 4688, págs. 102-109 (2002).
  28. ^ SN Srivastava y col. , J. Appl. Phys. 102, 023301 (2007).
  29. ^ Nigel Farrar; David Brandt; Norbert Böwering (26 de febrero de 2009). "ÓPTICA PARA EL ESCANEADO: Los espejos multicapa permiten la litografía EUV de próxima generación". Laser Focus World .
  30. ^ HS Kim. "El futuro de los dispositivos de memoria y la litografía EUV" (PDF) . Simposio EUV 2009. Archivado desde el original (PDF) el 2015-07-10 . Consultado el 2012-10-25 .
  31. ^ H. Mizoguchi, "Actualización de gigafotones de fuentes de luz EUV de plasma producido por láser", Taller sobre fuentes EUVL, 12 de mayo de 2008.
  32. ^ "Detrás de esta puerta: aprenda sobre EUV, la máquina más precisa y compleja de Intel". YouTube .
  33. ^ abc "Hyper-NA EUV debutará en 2030, preparando el mercado de fundición para la transformación". DIGITIMES . 28 de junio de 2024.
  34. ^ Informe anual 2020 de la ASML, pág. 68.
  35. ^ Esta fuente EUV ha sido elegida debido a su alta eficiencia de conversión de láser de CO2 a EUV (~ 5 % o más). Consulte "Igor Fomenkov, Fuente EUV para litografía en HVM: rendimiento y perspectivas, ASML Fellow, taller sobre fuentes, Ámsterdam, 5 de noviembre de 2019".
  36. ^ Yang, De-Kun (13 de julio de 2022). "El desarrollo de una fuente de luz EUV de plasma producida por láser". Chip . 1 (3). 100019. doi : 10.1016/j.chip.2022.100019 .
  37. ^ "Gigaphoton" (PDF) . Archivado desde el original (PDF) el 9 de julio de 2020. Consultado el 17 de mayo de 2023 .
  38. ^ "Cymer SPIE 2018" (PDF) .
  39. ^ "Actualización del taller EUVL de Zeiss 2018" (PDF) .
  40. ^ "Artículo SPIE 2007" (PDF) . Archivado desde el original (PDF) el 2017-08-12 . Consultado el 2018-07-28 .
  41. ^ "ASML, Taller EUVL 2016, pág. 14" (PDF) .
  42. ^ Y. Wang y Y. Liu, Proc. SPIE 9283, 928314 (2014).
  43. ^ abc «R. Capelli et al., Proc. SPIE 9231, 923109 (2014)» (PDF) . Archivado desde el original (PDF) el 10 de agosto de 2017. Consultado el 17 de mayo de 2023 .
  44. ^ "M. van den Brink et al., Proc. SPIE 2726 (1996)" (PDF) . Archivado desde el original (PDF) el 2017-08-09 . Consultado el 2018-07-17 .
  45. ^ Schmoeller, Thomas; Klimpel, T.; Kim, I.; Lorusso, G.; Myers, AF; Jonckheere, Rik; Goethals, Anne-Marie; Ronse, K. (14 de marzo de 2008). "Estrategias de compensación del cambio de patrón EUV". En Schellenberg, Frank M. (ed.). Emerging Lithographic Technologies XII . Actas de SPIE. Vol. 6921. págs. 69211B. doi :10.1117/12.772640. S2CID  121926142 – vía ResearchGate.
  46. ^ AN Broers, IEEE Trans. eléctrico. Desarrollo. 28, 1268 (1981).
  47. ^ Tao, Y.; et al. (2005). "Caracterización del perfil de densidad del plasma de estaño producido por láser para una fuente ultravioleta extrema de 13,5 nm". Appl. Phys. Lett . 86 (20): 201501. Bibcode :2005ApPhL..86t1501T. doi :10.1063/1.1931825.
  48. ^ "Resumen 107 Última página". www.nifs.ac.jp .
  49. ^ I. Fomenkov y otros, Adv. Optar. Tecnología. 6, 173 (2017).
  50. ^ IV Fomenkov, Proc. SPIE 10957, 1095719 (2019).
  51. ^ ab Nadir Faradzhev; Vadim Sidorkin (2009). "Transporte de Sn a la superficie de la película de Ru mediado por hidrógeno" (PDF) . J. Vac. Sci. Technol. A . 27 (2): 306–314. Bibcode :2009JVSTA..27..306F. doi :10.1116/1.3081968. Archivado desde el original (PDF) el 2016-12-20 . Consultado el 2016-12-14 .
  52. ^ abc Eishi Shiobara (16 de febrero de 2016). "Actualización de las pruebas de desgasificación de resistencias en EIDEC" (PDF) . IEUVI Resist TWG, San José .
  53. ^ R. Rokitski y col. , Proc. SPIE 7640, 76401Q (2010).
  54. ^ abcd M. van de Kerkhof et al., Proc. SPIE 10143, 101430D (2017).
  55. ^ ab Y. Chen y col., J.Vac. Sci. Tech. B35, 06G601 (2017).
  56. ^ abcde "H. Mizoguchi et al., Taller EUV-FEL de 2017, pág. 4" (PDF) .
  57. ^ "Determinación de la resolución y el rendimiento de una resistencia EUV" www.linkedin.com .
  58. ^ I. Seshadri y otros, IEDM 2023.
  59. ^ "Evaluación de la producción de obleas EUV: 2019-2022". www.linkedin.com .
  60. ^ C. Smeets y otros, Proc. SPIE 12494, 1249406 (2023).
  61. ^ Paetzel, R.; et al. (2003). Yen, Anthony (ed.). "Láseres excimer para litografía de 193 nm con NA superalta". Proc. SPIE . Microlitografía óptica XVI. 5040 : 1665. Bibcode :2003SPIE.5040.1665P. doi :10.1117/12.485344. S2CID  18953813.
  62. ^ Harilal, SS; et al. (2006). "Control espectral de emisiones de objetivos dopados con estaño para litografía ultravioleta extrema". J. Phys. D . 39 (3): 484–487. Bibcode :2006JPhD...39..484H. doi :10.1088/0022-3727/39/3/010. S2CID  34621555.
  63. ^ T. Asayama y col. , Proc. SPIE vol. 8683, 86831G (2013).
  64. ^ "Actualización de ASML noviembre de 2013, Dublín" (PDF) .
  65. ^ L. Peters, "El doble patrón lidera la carrera por los 32 nm", Semiconductor International , 18 de octubre de 2007.
  66. ^ M. Sugawara y otros , J. Vac. Sci. Tech. B 21, 2701 (2003).
  67. ^ "¿Qué es el sombreado en la litografía EUV?". 28 de enero de 2022 – vía www.youtube.com.
  68. ^ abc Yunfei Deng; Bruno M. La Fontaine; Harry J. Levinson; Andrew R. Neureuther (2003). "Simulación EM rigurosa de la influencia de la estructura de los patrones de máscara en la obtención de imágenes EUVL". En Roxann L. Engelstad (ed.). Emerging Lithographic Technologies VII . Vol. 5037. doi :10.1117/12.484986. S2CID  137035695.
  69. ^ G. McIntyre y col. , Proc. SPIE vol. 7271, 72711C (2009).
  70. ^ T. Last y col. , Proc. SPIE 9985, 99850W (2016).
  71. ^ ab T. Last et al. , Proc. SPIE vol. 10143, 1014311 (2017).
  72. ^ W. Gao y col. , Proc. SPIE vol. 10143, 101430I (2017).
  73. ^ "Polarización por reflexión en sistemas de litografía EUV". 21 de agosto de 2022 – vía www.youtube.com.
  74. ^ "La creciente importancia de la polarización en la litografía EUV". www.linkedin.com .
  75. ^ M. Burkhardt y otros, Proc. SPIE 10957, 1095710 (2019).
  76. ^ A. Erdmann, P. Evanschitzky, T. Fuhrer, Proc. SPIE 7271, 72711E (2009).
  77. ^ "Modelo de doble difracción de máscaras EUV". 26 de septiembre de 2021 – vía www.youtube.com.
  78. ^ "Doble difracción en máscaras EUV: viendo a través de la ilusión de simetría". www.linkedin.com .
  79. ^ "Requisitos de planitud de la máscara EUV" (PDF) . Archivado desde el original (PDF) el 2015-06-26 . Consultado el 2015-06-26 .
  80. ^ T. Schmoeller y col. , Proc. SPIE vol. 6921, 69211B (2008).
  81. ^ P. Liu y otros , Proc. SPIE vol. 8679, 86790W (2013).
  82. ^ ab M. Sugawara y col. , Proc. SPIE 9048, 90480V (2014).
  83. ^ ab X. Chen et al. , Proc. SPIE 10143, 101431F (2017).
  84. ^ "TWINSCAN NXE:3400B". ASML . Archivado desde el original el 2018-12-15 . Consultado el 2017-07-02 .
  85. ^ ab X. Liu et al. , Proc. SPIE vol. 9048, 90480Q (2014).
  86. ^ O. Wood y otros, Proc. SPIE 10450, 1045008 (2017).
  87. ^ S. Yoshitake et al., Requisitos de planitud de la máscara EUV: perspectiva del proveedor del escritor de máscaras de haz de electrones.
  88. ^ J.-H. Franke y col., Proc. SPIE 11147, 111470E (2019).
  89. ^ "Desenfoque inducido por desplazamiento de imagen en litografía EUV". 24 de enero de 2023 – vía www.youtube.com.
  90. ^ A. Shchegrov y otros, Proc. SPIE 11325, 113251P (2020).
  91. ^ JH. Franke et al., J. Micro/Nanopatterning, Materials, and Metrology 21, 030501 (2022).
  92. ^ HN Chapman y KA Nugent, Proc. SPIE 3767, 225 (1999).
  93. ^ H. Komatsuda, Actas. SPIE 3997, 765 (2000).
  94. ^ Q. Mei y otros, Proc. SPIE 8679, 867923 (2013).
  95. ^ D. Hellweg y otros, Proc. SPIE 7969, 79690H (2011).
  96. ^ K. Hooker y otros, Proc. SPIE 10446, 1044604 (2017).
  97. ^ A. Garetto y col., J. Micro/Nanolith. MEMS MOEMS 13, 043006 (2014).
  98. ^ por TS. Eom y col., Proc. SPIE 8679, 86791J (2013).
  99. ^ R. Capelli y otros, Proc. SPIE 10957, 109570X (2019).
  100. ^ por J. Fu y col., Proc. SPIE 11323, 113232H (2020).
  101. ^ Miyakawa, R.; Naulleau, P. (13 de mayo de 2019). "Preparación para la próxima generación de litografía EUV en el Centro de Óptica de Rayos X". Synchrotron Radiation News . 32 (4): 15–21. Bibcode :2019SRNew..32...15M. doi :10.1080/08940886.2019.1634432. OSTI  1582044. S2CID  202145457 – vía escholarship.org.
  102. ^ S. Koo y otros, Proc. SPIE 7969, 79691N (2011).
  103. ^ Solicitud de patente de EE. UU. 20070030948.
  104. ^ "MF Bal y col., Appl. Opt. 42, 2301 (2003)" (PDF) .
  105. ^ DM Williamson, Actas. SPIE 3482, 369 (1998).
  106. ^ desde "Carl Zeiss 2018" (PDF) .
  107. ^ ab M. Lim y col., Proc. SPIE 10583, 105830X (2018).
  108. ^ AV Pret y otros, Proc. SPIE 10809, 108090A (2018).
  109. ^ L. van Look y otros, Proc. SPIE 10809, 108090M (2018)
  110. ^ RH. Kim y otros, Proc. SPIE 9776, 97761R (2016).
  111. ^ abc E. van Setten y otros, Proc. SPIE 9661, 96610G (2015).
  112. ^ TE Brist y GE Bailey, Proc. SPIE 5042, 153 (2003).
  113. ^ G. Zhang y otros, Proc. SPIE 5040, 45 (2003).
  114. ^ "Compensación entre resolución y tamaño de matriz debido a la rotación de pupila EUV" www.linkedin.com .
  115. ^ ab "Sombreado de líneas horizontales, verticales e inclinadas a lo largo de la rendija en sistemas de litografía EUV de baja y alta apertura numérica". www.linkedin.com .
  116. ^ K. Lee y otros, J. Microlith/Nanolith. MEMS MOEMS 18, 040501 (2019).
  117. ^ KA Goldberg y col., Proc. SPIE 5900, 59000G (2005).
  118. ^ Y. Liu y Y. Li, Opt. Eng. 55, 095108 (2016).
  119. ^ ab R., Saathof (1 de diciembre de 2018). Óptica adaptativa para contrarrestar las aberraciones térmicas: diseño de sistemas para litografía EUV con precisión subnm (tesis doctoral). Technische Universiteit Delft. doi : 10.4233/uuid:1d71e3e8-88ce-4260-aeda-af0ee7675445 .
  120. ^ TS Jota y RA Chipman, Proc. SPIE 9776, 977617 (2016).
  121. ^ abc "El director de gráficos de Mentor detalla los desafíos para el control de la colocación de bordes en 2020". nikonereview.com . Archivado desde el original el 2018-12-01 . Consultado el 2017-10-24 .
  122. ^ M. Habets y otros, Proc. SPIE 9776, 97762D (2016).
  123. ^ M. Bayraktar et al., Optar. Exp. 22, 30623 (2014).
  124. ^ "Imágenes no ideales en sistemas de litografía EUV". 11 de septiembre de 2021 – vía www.youtube.com.
  125. ^ George, Simi A.; Nauleau, Patrick; Rekawa, Senajith; Gullikson, Eric; Kemp, Charles D. (23 de febrero de 2009). Schellenberg, Frank M; La Fontaine, Bruno M (eds.). "Caracterización de la exposición fuera de banda con la herramienta de exposición de microcampo SEMATECH Berkeley 0.3-NA". Revista de micro/nanolitografía, MEMS y MOEMS . Tecnologías litográficas alternativas. 7271 : 72710X. Código Bibliográfico : 2009SPIE.7271E..0XG. doi : 10.1117/12.814429. OSTI  960237. S2CID  55241073.
  126. ^ abcd "Medición y caracterización del rendimiento de la máscara EUV a alta NA | EECS en UC Berkeley". www2.eecs.berkeley.edu .
  127. ^ "Carl Zeiss SMT GMbH, Semicon Europa, 16 de noviembre de 2018" (PDF) . Archivado desde el original (PDF) el 19 de junio de 2020. Consultado el 17 de mayo de 2023 .
  128. ^ ab "Reflectividad multicapa". henke.lbl.gov .
  129. ^ Y. Nakajima y otros, Proc. SPIE 7379, 73790P (2009).
  130. ^ ab N. Davydova y otros, Proc. SPIE 8166, 816624 (2011).
  131. ^ GJ Stagaman y otros, Proc. SPIE 2726, 146 (1996).
  132. ^ MF Ravet y otros, Proc. SPIE 5250, 99 (2004).
  133. ^ F. Scholze y otros, Proc. SPIE 6151, 615137 (2006).
  134. ^ Yakshin, AE; Kozhevnikov, IV; Zoethout, E.; Louis, E.; Bijkerk, F. (2010). "[PDF] Propiedades de espejos multicapa de banda ancha con grado de profundidad para sistemas ópticos EUV. | Semantic Scholar". Optics Express . 18 (7): 6957–71. doi : 10.1364/OE.18.006957 . PMID  20389715. S2CID  16163302.
  135. ^ M. Sugawara y otros, J. Micro/Nanolith. MEMS MOEMS 2, 27–33 (2003).
  136. ^ "OPG | PDF ya no disponible". opg.optica.org .
  137. ^ CS Choi y col. , Proc. SPIE 9235, 92351R (2014).
  138. ^ Principios fundamentales de la litografía óptica Chris A. Mack, pág. 37.
  139. ^ CA Mack, Microlith. Mundo, 9-4, 25 (2000)
  140. ^ JS Petersen y otros, Proc. SPIE 3546, 288 (1998).
  141. ^ "Características ópticas y físicas de las máscaras de cambio de fase EUV" (PDF) . Archivado desde el original (PDF) el 2017-02-05 . Consultado el 2017-02-05 .
  142. ^ Máscara de cambio de fase de semitono fino para litografía ultravioleta extrema Inhwan Lee, Sangsul Lee, Jae Uk Lee, Chang Young Jeong2, Sunyoung Koo, Changmoon Lim y Jinho Ahn
  143. ^ L. Yuan y otros, Proc. SPIE 8322, 832229 (2012).
  144. ^ ab E. van Setten et al., Internacional. Síntoma. sobre litografía EUV, 2014.
  145. ^ VM Blanco Carballo et al., Proc. SPIE 10143, 1014318 (2017).
  146. ^ E. van Setten y otros, Proc. SPIE 9231, 923108 (2014).
  147. ^ F. Jiang y otros. , Proc. SPIE vol. 9422, 94220U (2015).
  148. ^ abcd I. Mochi y col. , Proc. SPIE 9776, 97761S (2015).
  149. ^ JG Garofalo y otros, Proc. SPIE 2440, 302 (1995).
  150. ^ "Entendiendo el ruido de disparo EUV".
  151. ^ D. Civay y otros, Proc. SPIE 9048, 90483D (2014).
  152. ^ A. Burov et al., Proc. SPIE 11518, 115180Y (2020).
  153. ^ SÍ. Je et al. , Proc. SPIE 7823, 78230Z (2010).
  154. ^ T. Huynh-Bao y col. , Proc. SPIE 9781, 978102 (2016).
  155. ^ V. Philipsen y otros, Proc. SPIE 9235, 92350J (2014).
  156. ^ por W. Gillijns y col., Proc. SPIE 10143, 1014314 (2017).
  157. ^ ab YG Wang y otros, Proc. SPIE 10143, 1014320 (2017).
  158. ^ Patente de EE. UU. 9715170.
  159. ^ S. Nagahara y otros, Proc. SPIE 7640, 76401H (2010).
  160. ^ L. Pang y otros, Proc. SPIE 7520, 75200X (2009).
  161. ^ Hsu, Stephen D.; Liu, Jingjing (1 de enero de 2017). "Desafíos de la litografía anamórfica de alta apertura numérica y la fabricación de máscaras". Advanced Optical Technologies . 6 (3–4): 293. Bibcode :2017AdOT....6..293H. doi :10.1515/aot-2017-0024. S2CID  67056068.
  162. ^ Zhang, Zinan; Li, Sikun; Wang, Xiangzhao; Cheng, Wei; Qi, Yuejing (2021). "Optimización de la máscara de origen para la litografía ultravioleta extrema basada en el modelo de máscara gruesa y el algoritmo de optimización de enjambre de partículas de aprendizaje social". Optics Express . 29 (4): 5448–5465. Bibcode :2021OExpr..29.5448Z. doi : 10.1364/OE.418242 . PMID  33726081. S2CID  232263498.
  163. ^ "Taller IMEC EUVL 2018" (PDF) .
  164. ^ C. Krautschik y otros, Proc. SPIE 4343, 392 (2001).
  165. ^ A. Erdmann, P. Evanschitzky y T. Fuhner, Proc. SPIE 7271, 72711E (2009).
  166. ^ A. Erdmann y col., J. Micro/Nanolith. MEMS MOEMS 15, 021205 (2016).
  167. ^ M. Burkhardt y A. Raghunathan, Proc. SPIE 9422, 94220X (2015).
  168. ^ Z. Zhu y otros, Proc. SPIE 5037, 494 (2003)
  169. ^ V. Philipsen y otros, Proc. SPIE 10143, 1014310 (2017).
  170. ^ Naulleau, Patrick P.; Rammeloo, Clemens; Cain, Jason P.; Dean, Kim; Denham, Paul; Goldberg, Kenneth A.; Hoef, Brian; La Fontaine, Bruno; Pawloski, Adam R.; Larson, Carl; Wallraff, Greg (2006). Lercel, Michael J (ed.). "Investigación de los límites de resolución actuales de las resistencias avanzadas al ultravioleta extremo (EUV)". Emerging Lithographic Technologies X . 6151 : 289. Bibcode :2006SPIE.6151..289N. CiteSeerX 10.1.1.215.7131 . doi :10.1117/12.657005. S2CID  97250792. 
  171. ^ A. Erdmann y col., J. Micro/Nanolith. MEMS MOEMS 15(2), 021205 (2016).
  172. ^ J. Finders y otros, Proc. SPIE 9776, 97761P (2016).
  173. ^ D. Rio y otros, Proc. SPIE 10809, 108090N (2018).
  174. ^ CH. Chang y col., Proc. SPIE 5377, 902 (2004).
  175. ^ T. Devoivre y otros, MTDT 2002.
  176. ^ LC Choo y otros, Proc. SPIE vol. 4000, 1193 (2000).
  177. ^ J. Word y K. Sakajiri, Proc. SPIE 6156, 61561I (2006).
  178. ^ T. Winkler y otros, Prod. SPIE 5754, 1169 (2004).
  179. ^ Y. Borodovsky y otros, Proc. SPIE 4754, 1 (2002).
  180. ^ SS. Yu y otros, Proc. SPIE 8679, 86791L (2013).
  181. ^ desde A. Erdmann y col., Proc. SPIE 10583, 1058312 (2018).
  182. ^ "Máscaras de cambio de fase para mejorar el NILS: ¿un obstáculo para el EUV?". www.linkedin.com .
  183. ^ "Análisis de modos propios de campos EM en máscaras EUV" (PDF) .
  184. ^ "Máscara de desplazamiento de fase grabada EUV de ultra alta eficiencia" (PDF) .
  185. ^ Naulleau, P., Anderson, CN, Baclea-an, LM, Chan, D., Denham, P., George, S., Goldberg, KA, Hoef, B., Jones, G., Koh, C. y La Fontaine, B., 2010, marzo. El SEMATECH Berkeley MET impulsa el desarrollo de EUV más allá de la mitad de paso de 22 nm. En Extreme Ultraviolet (EUV) Lithography (Vol. 7636, pp. 530-538). SPIE.
  186. ^ ". Torok et al., "Electrones secundarios en litografía EUV", J. Photopol. Sci. and Tech., 26, 625 (2013)".
  187. ^ K. Ishii y T. Matsuda, Jpn. J. Appl. Phys. 29, 2212 (1990).
  188. ^ A. Thete y otros, Proc. SPIE 9422, 94220A (2015).
  189. ^ "Tesis de B. Sun, pág. 34" (PDF) .
  190. ^ "S. Bhattarai, Estudio de la rugosidad de los bordes de las líneas y las interacciones de los electrones secundarios en fotorresistentes para litografía EUV, 2017, pág. 100" (PDF) . Archivado desde el original (PDF) el 2017-10-21 . Consultado el 2018-09-16 .
  191. ^ DD Simone y col., Proc. SPIE 10143, 101430R (2017).
  192. ^ "Impacto del desenfoque en el desenfoque de electrones en la litografía EUV". 4 de marzo de 2023 – vía www.youtube.com.
  193. ^ MIJacobs y col., Phys. Chem. Chem. Phys. 19(20) (2017).
  194. ^ P. Theofanis y otros, Proc. SPIE 11323, 113230I (2020).
  195. ^ "Impacto del desenfoque electrónico en películas resistentes a la radiación ultravioleta (EUV) debido a la reflexión en la interfaz". www.linkedin.com .
  196. ^ O. Yu y otros, J. Elec. Spec. y Rel. Phenom. 241, 146824 (2020).
  197. ^ N. Miyahara y otros, Proc. SPIE 12498, 124981E (2023)
  198. ^ El desenfoque agrava las imágenes EUV estocásticas
  199. ^ J. Hollenshead y L. Klebanoff, J. Vac. Sci. & Tech. B 24, págs. 118-130 (2006).
  200. ^ G. Denbeaux et al. , Conferencia Europea sobre Máscaras y Litografía de 2007.
  201. ^ I. Pollentier y col. , Proc. SPIE vol. 7972, 797208 (2011).
  202. ^ G. Denbeaux, 2009 Taller internacional sobre litografía EUV.
  203. ^ JY Park y col. , J. Vac. Sci. Tech. B29, 041602 (2011).
  204. ^ abcde Crijns, VMC (2014). "Limpieza de estaño basada en átomos de hidrógeno" (PDF) . Universidad Tecnológica de Eindhoven .
  205. ^ ab T. Van de Ven et al., J. Appl. Física. 123, 063301 (2018).
  206. ^ Modelado informático de la contaminación y limpieza de la óptica de fuentes EUV. RnD-ISAN/EUV Labs & ISTEQ BV.
  207. ^ La desnitruración de nitruros bajo hidrógeno.
  208. ^ "CG van de Walle y B. Tuttle, TEORÍA DE LAS INTERACCIONES DEL HIDRÓGENO CON EL SILICIO AMORFO en películas delgadas de silicio amorfo y heterogéneo: fundamentos de los dispositivos, editado por HM Branz, RW Collins, H. Okamoto, S. Guha y B. Schropp, Actas del simposio MRS, vol. 557 (MRS, Pittsburgh, Pensilvania, 1999), pág. 255" (PDF) .
  209. ^ T. Tanabe, Y. Yamanishi y S. Imoto, J. Nucl. Mat. 191–194, 439 (1992).
  210. ^ ab "Embalaje de ampollas de hidrógeno en multicapas EUV". 6 de octubre de 2022 – vía www.youtube.com.
  211. ^ ab "DT Elg y col., J. Vac. Sci. Tech. A 34, 021305 (2016)" (PDF) .
  212. ^ "Ampollas inducidas por hidrógeno en multicapas de película delgada" (PDF) .
  213. ^ IY. Jang y otros, Proc. SPIE 9256, 92560I (2014)
  214. ^ "Penetración de hidrógeno en Ru y Pd/Ru" (PDF) .
  215. ^ Pantisano, L; Schram, Tom; Li, Z; Lisoni, Judit; Pourtois, Geoffrey; De Gendt, Stefan; P. Brunco, D; Akheyar, A; Afanas'ev, VV; Shamuilia, Sheron; Stesmans, A (12 de junio de 2006). "Electrodos de compuerta de rutenio en SiO2 y HfO2: Sensibilidad a ambientes de hidrógeno y oxígeno". Applied Physics Letters . 88 (24): 243514. Bibcode :2006ApPhL..88x3514P. doi :10.1063/1.2212288 – vía ResearchGate.
  216. ^ "Penetración de hidrógeno en carburo de boro".
  217. ^ M. Mayer, M. Balden y R. Behrisch, J. Nucl. Mat. 252, 55 (1998).
  218. ^ desde SS. Kim y col., Proc. SPIE 10143, 1014306 (2017).
  219. ^ "Detección de capas de protección resistentes a la oxidación" (PDF) .
  220. ^ B. Thedjoisworo y col. , J. Vac. Sci. Tech. A 30, 031303 (2012).
  221. ^ "Plasma de hidrógeno para la eliminación de fotorresistencia" (PDF) . Archivado desde el original (PDF) el 2020-03-21 . Consultado el 2019-01-06 .
  222. ^ "Desgasificación resistente a óxidos metálicos" (PDF) .
  223. ^ Thedjoisworo, Bayu; Cheung, David; Crist, Vince (2013). "Comparación de los efectos de los plasmas basados ​​en H2 y O2 en la eliminación de fotorresistencia, silicio y nitruro de silicio". Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena . 31 (2): 021206. Bibcode :2013JVSTB..31b1206T. doi : 10.1116/1.4792254 . ISSN  2166-2746.
  224. ^ J. Beckers y otros, Appl. Sci. 9,2827 (2019).
  225. ^ P. De Schepper y otros, J. Micro/Nanolith. MEMS MOEMS 13, 023006 (2014).
  226. ^ ab ES. Choe y col., Adv. Mater. Interfaces 2023, 2300867.
  227. ^ P. De Schepper y otros, Proc. SPIE 9428, 94280C (2015).
  228. ^ Huang, YH; Lin, CJ; King, YC (2023). "Un estudio del efecto de carga inducido por plasma de hidrógeno en sistemas de litografía EUV". Discover Nano . 18 (1): 22. Bibcode :2023NRL....18...22H. doi : 10.1186/s11671-023-03799-4 . PMC 9950305 . PMID  36823307. 
  229. ^ M. van de Kerkhof y otros, Rad. Efectos y Defectos en Sólidos, 177, 486 (2022).
  230. ^ K. Bystrov y otros, J. Vac. Ciencia. Tecnología. A 31, 011303 (2013).
  231. ^ Nanopartículas en el plasma inducido por EUV: otro posible origen de los defectos estocásticos en la litografía EUV
  232. ^ Nanopartículas en el plasma inducido por EUV: otro posible origen de los defectos estocásticos
  233. ^ "Ponerse al día con los requisitos de la hoja de ruta para la litografía UV extrema". spie.org .
  234. ^ "Métodos de simulación rápida para defectos de fase no plana y multicapa en fotomáscaras DUV y EUV para litografía". berkeley.edu .
  235. ^ H. Yu y otros , J. Vac. Sci. Tech. A31, 021403 (2013).
  236. ^ S. Huh y otros. , Proc. SPIE 7271 (2009).
  237. ^ K. Seki y col. , Proc. SPIE 9658, 96580G (2015).
  238. ^ A. Garetto y col. , J. Micro/Nanolith. MEMS MOEMS 13, 043006 (2014).
  239. ^ ab Y. Chen et al., Proc. SPIE 10143, 101431S (2017).
  240. ^ R. Jonckheere y LS Melvin III, Proc. SPIE 11517, 1151710 (2020).
  241. ^ M. Muller y otros, Appl. Phys. A vol. 108, 263 (2012).
  242. ^ "Actividades de EUVL en Corea del Sur (incluidos Samsung y SKHynix)" (PDF) .
  243. ^ IS. Kim y col. , Proc. SPIE vol. 8322, 83222X (2012).
  244. ^ C. Zoldeski y col. , Proc. SPIE vol. 9048, 90481N (2014).
  245. ^ DL Goldfarb, Boletín BACUS de diciembre de 2015.
  246. ^ "Continúan los problemas de resistencia, tiempo de actividad y película EUV". 26 de septiembre de 2018.
  247. ^ A. Gao y otros. , J. Appl. Física. 114, 044313 (2013).
  248. ^ E. Gallagher y col. , Proc. SPIE vol. 9635, 96350X (2015).
  249. ^ C. Ghica y col. , Rom. Rep. en Phys., vol. 62, 329–340 (2010).
  250. ^ L. Juan et al. , Mentón. Física. B, vol., 22, 105101 (2013).
  251. ^ I. Pollentier et al. , Proc. SPIE vol. 10143, 101430L (2017).
  252. ^ IS. Kim y col., Microel. Eng. 177, 35 (2017).
  253. ^ por HJ Levinson y TA Brunner, Proc. SPIE 10809, 1080903 (2018).
  254. ^ "Actualización de fabricación de TSMC: N6 igualará la producción de N7 al final del año, N5 aumentará más rápido, mejores rendimientos que N7".
  255. ^ "Samsung desarrollará 'Pellicle', un producto esencial para el proceso EUV - ETNews". 13 de octubre de 2021.
  256. ^ ab Patente de EE. UU. 12025922
  257. ^ ab P. De Bisschop, "Efectos estocásticos en la litografía EUV: variabilidad aleatoria y local de CD y fallas de impresión", J. Micro/Nanolith. MEMS MOEMS 16(4), 041013 (2017).
  258. ^ Visualización de estocásticos EUV para un ejemplo de DRAM de 14 nm
  259. ^ abcde P. De Bisschop y E. Hendrickx, Proc. SPIE 10583, 105831K (2018).
  260. ^ Variabilidad estocástica EUV en cortes de línea
  261. ^ El impacto estocástico del desenfoque en la litografía EUV
  262. ^ El impacto estocástico del desenfoque en la litografía EUV
  263. ^ desde A. Narasimhan y col., Proc. SPIE 9422, 942208 (2015).
  264. ^ Fukuda, Hiroshi (23 de febrero de 2019). "Generación secundaria de electrones localizada y en cascada como causas de defectos estocásticos en la litografía de proyección ultravioleta extrema". Revista de micro/nanolitografía, MEMS y MOEMS . 18 (1): 013503. Bibcode :2019JMM&M..18a3503F. doi : 10.1117/1.JMM.18.1.013503 .
  265. ^ L. Meli y col., J. Micro/Nanolith. MEMS MOEMS 18, 011006 (2019).
  266. ^ N. Félix y otros, Proc. SPIE 9776, 97761O (2015).
  267. ^ "Tesis doctoral de S. Bhattarai,"Estudio de la rugosidad de los bordes de las líneas y las interacciones de los electrones secundarios en fotorresistentes para litografía EUV", U. Calif. Berkeley, 2017" (PDF) .
  268. ^ S. Larivière y otros, Proc. SPIE 10583, 105830U (2018).
  269. ^ J. Kim y otros, Proc. SPIE 11328, 113280I (2020).
  270. ^ SM Kim y otros, Proc. SPIE 9048, 90480A (2014).
  271. ^ S. Das y otros, Proc. SPIE 10959, 109590H (2019).
  272. ^ abc HW Kim y otros, Proc. SPIE 7636, 76360Q (2010).
  273. ^ SM. Kim y otros, Proc. SPIE 9422, 94220M (2015).
  274. ^ B. Baylav, "Reducción de la rugosidad de los bordes de las líneas (LER) en litografías de campo grande similares a interferencias", tesis doctoral, pág. 37, 2014.
  275. ^ ZY. Pan y col., Proc. SPIE 6924, 69241K (2008).
  276. ^ "2013 Nissan Chemical Industries, Taller internacional 2013 sobre litografía EUV" (PDF) .
  277. ^ TG Oyama y col. , Appl. Phys. Exp. 7, 036501 (2014).
  278. ^ T. Kozawa, Jpn. J. Appl. Phys. 51, 06FC01 (2012).
  279. ^ Impacto de la absorción de la resistencia EUV en los defectos estocásticos
  280. ^ Hoja de ruta de litografía IRDS 2022
  281. ^ YJ Choi et al., “Recubrimiento de eliminación de defectos estocásticos para litografía ultravioleta extrema de alto rendimiento”, J. Vac. Sci. Tech. B 40, 042602 (2022).
  282. ^ Y. Li, Q. Wu, Y. Zhao, “Un estudio de simulación para patrones de reglas de diseño típicos y fallas de impresión estocásticas en un proceso lógico de 5 nm con litografía EUV”, CSTIC 2020.
  283. ^ TT. Wu y otros, Proc. SPIE 12955, 129552V (2024).
  284. ^ por R. Socha, Proc. SPIE 11328, 113280V (2020).
  285. ^ B. Sell y otros, VLSI Tech. 2022]
  286. ^ JH. Franke y col., Proc. SPIE 11517, 1151716 (2020).
  287. ^ La compensación de la apertura numérica EUV: profundidad de enfoque versus relleno de pupila.
  288. ^ W. Gap y otros, Proc. SPIE 10583, 105830O (2018).
  289. ^ D. De Simone et al., Litografía avanzada 2019, 10957-21.
  290. ^ "Integración de celdas DRAM de 18 nm de Samsung: QPT y dieléctricos de alto k con capacitores uniformizados superiores". techinsights.com .
  291. ^ Roos, Gina (24 de mayo de 2018). "Los precios de las memorias DRAM siguen aumentando mientras que los ASP de las memorias NAND Flash caen".
  292. ^ "SemiWiki.com - Los 10 aspectos más destacados del Foro del ecosistema de la plataforma de innovación abierta de TSMC". www.semiwiki.com . 7 de agosto de 2023.
  293. ^ "DAC 2018 TSMC/Arm/Synopsys Breakfast" (Desayuno TSMC/Arm/Synopsys del DAC 2018) www.synopsys.com . Archivado desde el original el 5 de octubre de 2018. Consultado el 5 de octubre de 2018 .
  294. ^ "Cadence obtiene la certificación EDA para las tecnologías de proceso FinFET de 5 nm y 7 nm+ de TSMC para facilitar la creación de diseños móviles y de HPC" (nota de prensa). Octubre de 2018.
  295. ^ "Plataformas de diseño personalizado y digital de Synopsys certificadas con tecnología de proceso basada en EUV de 5 nm de TSMC". Diseño y reutilización .
  296. ^ "DAC 2018 Samsung/Synopsys Breakfast". www.synopsys.com . Archivado desde el original el 2018-10-05 . Consultado el 2018-10-05 .
  297. ^ Merritt, Rick. "TSMC lleva el fotón a la nube". EETimes .
  298. ^ "Presentación de Intel sobre litografía complementaria en el Taller internacional de 2012 sobre litografía EUV" (PDF) .
  299. ^ abc "EUV nunca iba a tener un patrón único". 5 de febrero de 2017.
  300. ^ S. Hsu y otros, Proc. SPIE 4691, 476 (2002).
  301. ^ X. Liu y otros, Proc. SPIE 9048, 90480Q (2014).
  302. ^ SY. Oh y otros, Proc. SPIE 4691, 1537 (2002).
  303. ^ D. Rio y col., Proc. SPIE 10809, 108090N (2018).
  304. ^ W. Gao y otros, Proc. SPIE 11323, 113231L (2020).
  305. ^ RK Ali y otros, Proc. SPIE 10583, 1058321 (2018).
  306. ^ Q. Lin, Actas SPIE 11327, 113270X (2020).
  307. ^ R. Venkatesan y otros, Proc. SPIE 12292, 1229202 (2022).
  308. ^ JT Neumann y col. , Proc. SPIE 8522, 852211 (2012).
  309. ^ Los chips Xeon E5-2600 V4 de Intel cuentan con una increíble cantidad de 7.2 mil millones de transistores en una matriz de 456 mm2,
  310. ^ J. van Schoot y col. , Proc. SPIE 9422, 94221F (2015).
  311. ^ BJ Lin, JM3 1, 7–12 (2002).
  312. ^ ER Hosler y col. , Proc. SPIE vol. 9776, 977616 (2015).
  313. ^ desde BJ Lin, J. Microlith., Microfab., Microsyst. 1, 7–12 (2002).
  314. ^ BJ Lin, Microelec. Eng. 143, 91–101 (2015).
  315. ^ B. Bilski y otros, Proc. SPIE 11177, 111770I (2019).
  316. ^ Hoja de ruta de Intel
  317. ^ Comprobaciones de la realidad para EUV de alta NA para nodos de 1,x nm
  318. ^ LF Miguez et al., Proc. SPIE 12498, 124980E (2023).
  319. ^ R. Fallica y otros, Proc. SPIE 12498, 124980J (2023).
  320. ^ ab "ASML apunta a Hyper-NA EUV, reduciendo los límites de los chips". 12 de junio de 2024.
  321. ^ biz.chosun.com/it-science/ict/2024/06/21/OTIF4YUEGZCSLNPMHY53HH34DQ/
  322. ^ Lee, Inhwan; Franke, Joern-Holger; Philipsen, Vicky; Ronse, Kurt; De Gendt, Stefan; Hendrickx, Eric (2023). Lio, Anna; Burkhardt, Martin (eds.). "Litografía EUV hiper-NA: una perspectiva de imagen". Nanolitografía óptica y EUV Xxxvi . 12494 : 7. Código Bibliográfico :2023SPIE12494E..05L. doi :10.1117/12.2659153. ISBN 978-1-5106-6095-3.
  323. ^ "Presentación de ASML en el Taller Internacional sobre Fuentes Ultravioleta Extremas de 2010" (PDF) .
  324. ^ Mojarad, Nassir; Gobrecht, Jens; Ekinci, Yasin (18 de marzo de 2015). "Más allá de la litografía EUV: un estudio comparativo del rendimiento de las fotorresistencias eficientes". Scientific Reports . 5 (1): 9235. Bibcode :2015NatSR...5E9235M. doi :10.1038/srep09235. PMC 4363827 . PMID  25783209. 

Lectura adicional

Enlaces relacionados