stringtranslate.com

Circuito integrado tridimensional

Un circuito integrado tridimensional ( IC 3D ) es un circuito integrado (IC) MOS (semiconductor de óxido metálico) fabricado apilando hasta 16 o más IC e interconectándolos verticalmente utilizando, por ejemplo, vías a través de silicio (TSV) o conexiones Cu-Cu, [1] [2] de modo que se comporten como un solo dispositivo para lograr mejoras de rendimiento con menor potencia y menor huella que los procesos bidimensionales convencionales. El IC 3D es uno de varios esquemas de integración 3D que explotan la dirección z para lograr beneficios de rendimiento eléctrico en microelectrónica y nanoelectrónica .

Los circuitos integrados 3D se pueden clasificar por su nivel de jerarquía de interconexión a nivel global ( paquete ), intermedio (almohadilla de enlace) y local ( transistor ). [3] En general, la integración 3D es un término amplio que incluye tecnologías como el empaquetado a nivel de oblea 3D (3DWLP); integración basada en interpositores 2.5D y 3D; circuitos integrados apilados 3D (3D-SIC); integración heterogénea 3D; e integración de sistemas 3D; [4] [5] así como circuitos integrados 3D monolíticos verdaderos.

Organizaciones internacionales como el Comité de la Hoja de Ruta Tecnológica Jisso (JIC) y la Hoja de Ruta Tecnológica Internacional para Semiconductores (ITRS) han trabajado para clasificar las diversas tecnologías de integración 3D para promover el establecimiento de estándares y hojas de ruta de integración 3D. [6] A partir de la década de 2010, los circuitos integrados 3D se utilizan ampliamente para la memoria flash NAND y en dispositivos móviles .

Tipos

Circuitos integrados 3D frente a embalajes 3D

El empaquetado 3D se refiere a esquemas de integración 3D que se basan en métodos de interconexión tradicionales, como la unión por cables y el chip invertido , para lograr un apilamiento vertical. El empaquetado 3D se puede dividir en sistema 3D en paquete (3D SiP) y paquete a nivel de oblea 3D (3D WLP). Los SiP 3D que han estado en la fabricación convencional durante algún tiempo y tienen una infraestructura bien establecida incluyen matrices de memoria apiladas interconectadas con uniones por cables y configuraciones de paquete sobre paquete (PoP) interconectadas con uniones por cables o tecnología de chip invertido. PoP se utiliza para integrar verticalmente tecnologías dispares. 3D WLP utiliza procesos a nivel de oblea, como capas de redistribución (RDL) y procesos de choque de obleas para formar interconexiones.

El intercalador 2.5D es un WLP 3D que interconecta matrices una al lado de la otra en una matriz de silicio, vidrio u orgánica mediante vías de silicio pasante (TSV) y un RDL. En todos los tipos de encapsulado 3D, los chips en el encapsulado se comunican mediante señalización externa al chip, de forma muy similar a si estuvieran montados en encapsulados separados en una placa de circuito impreso normal. El intercalador puede estar hecho de silicio y se encuentra debajo de las matrices que conecta entre sí. Un diseño se puede dividir en varias matrices y luego montar en el intercalador con microprotuberancias. [7] [8] [9]

Los circuitos integrados 3D se pueden dividir en circuitos integrados apilados 3D (SIC 3D), que se refieren a técnicas de empaquetado avanzadas [10] [11] [12] que apilan chips de circuitos integrados mediante interconexiones TSV, y circuitos integrados 3D monolíticos, que utilizan procesos de fabricación para realizar interconexiones 3D en los niveles locales de la jerarquía de cableado en chip, tal como lo establece el ITRS, lo que da como resultado interconexiones verticales directas entre las capas del dispositivo. Los primeros ejemplos de un enfoque monolítico se ven en los dispositivos 3D V-NAND de Samsung . [13]

A partir de la década de 2010, los paquetes de circuitos integrados 3D se utilizan ampliamente para la memoria flash NAND en dispositivos móviles . [14]

Un troquel maestro y tres troqueles esclavos

SiC 3D

El mercado de la electrónica digital requiere un chip de memoria semiconductor de mayor densidad para satisfacer los componentes de CPU lanzados recientemente , y la técnica de apilamiento de múltiples matrices se ha sugerido como una solución a este problema. JEDEC reveló la próxima tecnología DRAM que incluye el plan de apilamiento de matrices "3D SiC" en el "Server Memory Forum", 1 y 2 de noviembre de 2011, Santa Clara, CA. En agosto de 2014, Samsung Electronics comenzó a producir módulos SDRAM  de 64 GB para servidores basados ​​en la emergente memoria DDR4 (doble velocidad de datos 4) utilizando la tecnología de paquete TSV 3D. [15] Los estándares propuestos más nuevos para DRAM apilada en 3D incluyen Wide I/O, Wide I/O 2, Hybrid Memory Cube y High Bandwidth Memory .

Circuitos integrados monolíticos 3D

Los verdaderos circuitos integrados 3D monolíticos se construyen en capas sobre una única oblea semiconductora , que luego se corta en circuitos integrados 3D. Solo hay un sustrato, por lo que no es necesario alinear, adelgazar, unir ni atravesar vías de silicio . En general, los circuitos integrados 3D monolíticos siguen siendo una tecnología en desarrollo y la mayoría considera que aún faltan varios años para que se produzcan.

Las limitaciones de temperatura del proceso se pueden abordar dividiendo la fabricación del transistor en dos fases. Una fase de alta temperatura que se realiza antes de la transferencia de capas seguida de una transferencia de capas mediante corte de iones, también conocido como transferencia de capas, que se ha utilizado para producir obleas de silicio sobre aislante (SOI) durante las últimas dos décadas. Se pueden crear múltiples capas delgadas (a escala nanométrica de 10 a 100 s) de silicio prácticamente libre de defectos utilizando técnicas de unión y corte a baja temperatura (<400 °C), y colocarlas sobre circuitos de transistores activos, seguidas de la finalización permanente de los transistores mediante procesos de grabado y deposición. Esta tecnología de circuitos integrados 3D monolíticos se ha investigado en la Universidad de Stanford gracias a una subvención patrocinada por DARPA .

CEA-Leti también desarrolló enfoques de circuitos integrados tridimensionales monolíticos, denominados circuitos integrados tridimensionales secuenciales. En 2014, el instituto de investigación francés presentó su CoolCube™, un flujo de proceso de baja temperatura que ofrece un verdadero camino hacia la 3DVLSI. [16]

En la Universidad de Stanford, los investigadores diseñaron circuitos integrados 3D monolíticos utilizando estructuras de nanotubos de carbono (CNT) en lugar de silicio mediante un proceso de transferencia de CNT a baja temperatura a escala de oblea que se puede realizar a 120 °C. [17]

Tecnologías de fabricación para SiC 3D

Existen varios métodos para el diseño de circuitos integrados en 3D, incluidos los métodos de recristalización y unión de obleas. Hay dos tipos principales de unión de obleas: conexiones Cu-Cu (conexiones cobre-cobre entre circuitos integrados apilados, utilizadas en TSV) [18] [19] y a través de silicio (TSV). Los circuitos integrados en 3D con TSV pueden utilizar microprotuberancias de soldadura, pequeñas bolas de soldadura como interfaz entre dos matrices individuales en un circuito integrado en 3D. [20] A partir de 2014, se han lanzado varios productos de memoria, como High Bandwidth Memory (HBM) y Hybrid Memory Cube , que implementan el apilamiento de circuitos integrados en 3D con TSV. Se están implementando y explorando varios enfoques de apilamiento clave, entre ellos, matriz a matriz, matriz a oblea y oblea a oblea.

Morir a morir
Los componentes electrónicos se construyen sobre múltiples matrices, que luego se alinean y se unen. El adelgazamiento y la creación de TSV se pueden realizar antes o después de la unión. Una ventaja del método de matriz a matriz es que cada matriz de componente se puede probar primero, de modo que una matriz defectuosa no arruine una pila completa. [21] Además, cada matriz en el CI 3D se puede clasificar de antemano, de modo que se puedan mezclar y combinar para optimizar el consumo de energía y el rendimiento (por ejemplo, combinar múltiples matrices de la esquina de proceso de bajo consumo para una aplicación móvil).
De matriz a oblea
Los componentes electrónicos se construyen sobre dos obleas semiconductoras. Una oblea se corta en dados; los dados individuales se alinean y se unen a los sitios de matriz de la segunda oblea. Al igual que en el método de oblea sobre oblea, el adelgazamiento y la creación de TSV se realizan antes o después de la unión. Se pueden agregar matrices adicionales a las pilas antes del corte en dados. [22]
Oblea a oblea
Los componentes electrónicos se construyen sobre dos o más obleas semiconductoras , que luego se alinean, se unen y se cortan en circuitos integrados 3D. Cada oblea se puede adelgazar antes o después de la unión. Las conexiones verticales se construyen en las obleas antes de la unión o se crean en la pila después de la unión. Estas " vías a través del silicio " (TSV) pasan a través del sustrato o los sustratos de silicio entre las capas activas y/o entre una capa activa y una almohadilla de unión externa. La unión de oblea a oblea puede reducir los rendimientos, ya que si 1 de los N chips en un circuito integrado 3D es defectuoso, todo el circuito integrado 3D será defectuoso. Además, las obleas deben ser del mismo tamaño, pero muchos materiales exóticos (por ejemplo, III-V) se fabrican en obleas mucho más pequeñas que la lógica CMOS o DRAM (normalmente 300 mm), lo que complica la integración heterogénea.

Beneficios

Si bien los procesos de escalado CMOS tradicionales mejoran la velocidad de propagación de la señal, el escalado a partir de las tecnologías actuales de fabricación y diseño de chips se está volviendo más difícil y costoso, en parte debido a las limitaciones de densidad de potencia y en parte porque las interconexiones no se vuelven más rápidas mientras que los transistores sí lo hacen. [23] Los circuitos integrados 3D abordan el desafío del escalado apilando matrices 2D y conectándolas en la tercera dimensión. Esto promete acelerar la comunicación entre chips en capas, en comparación con el diseño plano. [24] Los circuitos integrados 3D prometen muchos beneficios significativos, incluidos:

Huella
Más funcionalidad en un espacio pequeño. Los formatos más pequeños son de gran importancia en dispositivos integrados como teléfonos móviles, sistemas IoT para los que se han desarrollado pilas de memoria no volátil 3D (por ejemplo, chips NAND 3D) [1] :: Extensión de la Ley de Moore : Algunos investigadores consideran que el mayor número de transistores que se incluyen en el mismo espacio es una extensión de la Ley de Moore . Esto permite extender la Ley de Moore sin su par tradicional de escalado de Dennard hacia una nueva generación de chips con mayor capacidad de cómputo para el mismo espacio.[2]:
Costo
La división de un chip grande en múltiples matrices más pequeñas con apilamiento 3D puede mejorar el rendimiento y reducir el costo de fabricación si las matrices individuales se prueban por separado. [25] [26]
Integración heterogénea
Las capas de circuitos se pueden construir con diferentes procesos, o incluso en diferentes tipos de obleas. Esto significa que los componentes se pueden optimizar en un grado mucho mayor que si se construyeran juntos en una sola oblea. Además, los componentes con fabricación incompatible se podrían combinar en un solo CI 3D. [27] [5]
Interconexión más corta
La longitud media del cable se reduce. Las cifras habituales que informan los investigadores son del orden del 10 al 15 %, pero esta reducción se aplica principalmente a interconexiones más largas, lo que puede afectar al retardo del circuito en mayor medida. Dado que los cables 3D tienen una capacitancia mucho mayor que los cables convencionales en matriz, el retardo del circuito puede mejorar o no.
Fuerza
Mantener una señal en el chip puede reducir su consumo de energía entre 10 y 100 veces. [28] Los cables más cortos también reducen el consumo de energía al producir menos capacitancia parásita . [29] Reducir el presupuesto de energía conduce a una menor generación de calor, una mayor vida útil de la batería y un menor costo de operación.
Diseño
La dimensión vertical añade un orden superior de conectividad y ofrece nuevas posibilidades de diseño. [5]
Seguridad del circuito
La integración 3D puede lograr seguridad a través de la oscuridad ; la estructura apilada complica los intentos de aplicar ingeniería inversa a los circuitos. Los circuitos sensibles también pueden dividirse entre las capas de tal manera que oculten la función de cada capa. [30] Además, la integración 3D permite integrar características dedicadas, similares a las de un monitor de sistema, en capas separadas. [5] El objetivo aquí es implementar algún tipo de firewall de hardware para cualquier componente/chip de producto que se monitoree en tiempo de ejecución, buscando proteger todo el sistema electrónico contra ataques en tiempo de ejecución, así como modificaciones maliciosas del hardware.
Ancho de banda
La integración 3D permite un gran número de vías verticales entre las capas. Esto permite la construcción de buses de ancho de banda amplio entre bloques funcionales en diferentes capas. Un ejemplo típico sería una pila 3D de procesador+memoria, con la memoria caché apilada sobre el procesador. Esta disposición permite un bus mucho más ancho que los típicos 128 o 256 bits entre la memoria caché y el procesador. [31] Los buses anchos a su vez alivian el problema de la pared de memoria . [32]

Modularidad

Integración 3D Integración modular de una amplia gama de pilas personalizadas mediante la estandarización de las interfaces de capas para numerosas opciones de apilamiento. Como resultado, se pueden fabricar diseños de pilas personalizados con bloques de construcción modulares (por ejemplo, se puede integrar una cantidad personalizada de capas de DRAM o eDRAM, capas de acelerador personalizadas, capas de memoria no volátil personalizables para cumplir con diferentes requisitos de diseño). Esto proporciona ventajas de diseño y costo a las empresas de semiconductores.[3]

Otras ventajas potenciales incluyen una mejor integración de los chips neuromórficos en los sistemas informáticos. A pesar de ser alternativas de bajo consumo a las CPU y GPU de uso general, los chips neuromórficos utilizan un cálculo "basado en picos" fundamentalmente diferente, que no es directamente compatible con el cálculo digital tradicional. La integración 3D ofrece oportunidades clave en esta integración.[4]

Desafíos

Debido a que esta tecnología es nueva, conlleva nuevos desafíos, entre ellos:

Costo
Si bien el costo es una ventaja en comparación con la escalabilidad, también se ha identificado como un desafío para la comercialización de circuitos integrados 3D en aplicaciones de consumo masivo. Sin embargo, se está trabajando para abordar este problema. Si bien la tecnología 3D es nueva y bastante compleja, el costo del proceso de fabricación es sorprendentemente sencillo cuando se desglosa en las actividades que forman el proceso completo. Al analizar la combinación de actividades que se encuentran en la base, se pueden identificar los impulsores de costos. Una vez identificados los impulsores de costos, se convierte en una tarea menos complicada determinar de dónde proviene la mayor parte del costo y, lo que es más importante, dónde el costo tiene el potencial de reducirse. [33]
Producir
Cada paso adicional de fabricación añade un riesgo de defectos. Para que los circuitos integrados 3D sean comercialmente viables, los defectos se pueden reparar o tolerar, o se puede mejorar la densidad de defectos. [34] [35]
Calor
El calor que se acumula en el interior de la chimenea debe disiparse. Este es un problema inevitable, ya que la proximidad eléctrica se correlaciona con la proximidad térmica. Los puntos calientes térmicos específicos deben gestionarse con más cuidado.
Complejidad del diseño
Para aprovechar al máximo la integración 3D se requieren técnicas de diseño sofisticadas y nuevas herramientas CAD . [36]
Gastos generales introducidos por TSV
Los TSV son grandes en comparación con las puertas y los planos de piso de impacto . En el nodo de tecnología de 45 nm, la huella de área de un TSV de 10 μm x 10 μm es comparable a la de aproximadamente 50 puertas. [37] Además, la capacidad de fabricación exige plataformas de aterrizaje y zonas de exclusión que aumentan aún más la huella de área del TSV. Dependiendo de las opciones de tecnología, los TSV bloquean algún subconjunto de recursos de diseño. [37] Los TSV de vía primero se fabrican antes de la metalización, por lo que ocupan la capa del dispositivo y dan como resultado obstáculos de colocación. Los TSV de vía último se fabrican después de la metalización y pasan a través del chip. Por lo tanto, ocupan tanto la capa de dispositivo como la de metal, lo que da como resultado obstáculos de colocación y enrutamiento. Si bien generalmente se espera que el uso de TSV reduzca la longitud del cable, esto depende de la cantidad de TSV y sus características. [37] Además, la granularidad de la partición entre matrices afecta la longitud del cable. Generalmente disminuye para granularidades moderadas (bloques con 20-100 módulos) y gruesas (partición a nivel de bloque), pero aumenta para granularidades finas (partición a nivel de puerta). [37]
Pruebas
Para lograr un alto rendimiento general y reducir los costos, es esencial realizar pruebas por separado de matrices independientes. [35] [38] Sin embargo, la integración estrecha entre capas activas adyacentes en circuitos integrados 3D implica una cantidad significativa de interconexión entre diferentes secciones del mismo módulo de circuito que se dividieron en matrices diferentes. Aparte de la sobrecarga masiva introducida por los TSV requeridos, las secciones de dicho módulo, por ejemplo, un multiplicador, no se pueden probar de forma independiente mediante técnicas convencionales. Esto se aplica particularmente a las rutas críticas en cuanto al tiempo establecidas en 3D.
Falta de estándares
Existen pocos estándares para el diseño, la fabricación y el empaquetado de circuitos integrados 3D basados ​​en TSV, aunque se está abordando este problema. [39] [40] Además, se están explorando muchas opciones de integración, como vía-última, vía-primera, vía-medio; [41] interpositores [42] o enlace directo; etc.
Cadena de suministro de integración heterogénea
En sistemas integrados heterogéneamente, el retraso de una pieza de uno de los diferentes proveedores de piezas retrasa la entrega de todo el producto y, por lo tanto, retrasa los ingresos de cada uno de los proveedores de piezas de circuitos integrados 3D.
Falta de una propiedad claramente definida
No está claro quién debería ser el propietario de la integración y el empaquetado/ensamblaje de los circuitos integrados 3D. Podrían ser empresas de ensamblaje como ASE o los fabricantes de equipos originales (OEM ) del producto .

Estrés termomecánico y confiabilidad

Las pilas 3D tienen composiciones de materiales y perfiles termomecánicos más complejos en comparación con los diseños 2D. El apilamiento de múltiples capas de silicio adelgazado, múltiples capas de cableado (BEOL), aislantes, vías de silicio y micro-C4 da como resultado fuerzas termomecánicas complejas y patrones de tensión que se ejercen sobre las pilas 3D. Como resultado, el calentamiento local en una parte de la pila (por ejemplo, en capas de dispositivos adelgazados) puede generar desafíos de confiabilidad. Esto requiere un análisis en tiempo de diseño y procesos de diseño que tengan en cuenta la confiabilidad. [5]

Estilos de diseño

Dependiendo de la granularidad de la partición, se pueden distinguir diferentes estilos de diseño. La integración a nivel de puerta enfrenta múltiples desafíos y actualmente parece menos práctica que la integración a nivel de bloque. [43]

Integración a nivel de puerta
Este estilo divide las celdas estándar entre múltiples matrices. Promete una reducción de la longitud del cable y una gran flexibilidad. Sin embargo, la reducción de la longitud del cable puede verse socavada a menos que se conserven los módulos de cierto tamaño mínimo. Por otro lado, sus efectos adversos incluyen la enorme cantidad de TSV necesarios para las interconexiones. Este estilo de diseño requiere herramientas de ubicación y ruta en 3D , que aún no están disponibles. Además, dividir un bloque de diseño en múltiples matrices implica que no se puede probar por completo antes del apilamiento de matrices. Después del apilamiento de matrices (prueba posterior a la unión), una sola matriz fallida puede dejar inutilizables varias matrices buenas, lo que socava el rendimiento. Este estilo también amplifica el impacto de la variación del proceso , especialmente la variación entre matrices. De hecho, un diseño en 3D puede rendir peor que el mismo circuito diseñado en 2D, contrariamente a la promesa original de la integración de CI en 3D. [44] Además, este estilo de diseño requiere rediseñar la propiedad intelectual disponible, ya que los bloques de propiedad intelectual existentes y las herramientas EDA no prevén la integración en 3D.
Integración a nivel de bloque
Este estilo asigna bloques de diseño completos a matrices separadas. Los bloques de diseño subsumen la mayor parte de la conectividad de la lista de conexiones y están vinculados por una pequeña cantidad de interconexiones globales. Por lo tanto, la integración a nivel de bloque promete reducir la sobrecarga de TSV. Los sistemas 3D sofisticados que combinan matrices heterogéneas requieren procesos de fabricación distintos en diferentes nodos de tecnología para una lógica aleatoria rápida y de bajo consumo, varios tipos de memoria, circuitos analógicos y de RF, etc. La integración a nivel de bloque, que permite procesos de fabricación separados y optimizados, parece crucial para la integración 3D. Además, este estilo podría facilitar la transición del diseño 2D actual al diseño de circuitos integrados 3D. Básicamente, las herramientas con reconocimiento 3D solo se necesitan para la partición y el análisis térmico. [45] Se diseñarán matrices separadas utilizando herramientas 2D (adaptadas) y bloques 2D. Esto está motivado por la amplia disponibilidad de bloques IP confiables. Es más conveniente utilizar los bloques IP 2D disponibles y colocar los TSV obligatorios en el espacio desocupado entre bloques en lugar de rediseñar bloques IP e incrustar TSV. [43] Las estructuras diseñadas para la comprobación son un componente clave de los bloques IP y, por lo tanto, se pueden utilizar para facilitar la prueba de circuitos integrados 3D. Además, las rutas críticas se pueden integrar principalmente en bloques 2D, lo que limita el impacto de la TSV y la variación entre matrices en el rendimiento de fabricación. Por último, el diseño de chips moderno a menudo requiere cambios de ingeniería de último momento . Restringir el impacto de dichos cambios a matrices individuales es esencial para limitar los costos.

Historia

Varios años después de que Mohamed Atalla propusiera por primera vez el chip de circuito integrado MOS (MOS IC) en Bell Labs en 1960, [46] el concepto de un circuito integrado MOS tridimensional fue propuesto por los investigadores de Texas Instruments Robert W. Haisty, Rowland E. Johnson y Edward W. Mehal en 1964. [47] En 1969, los investigadores de NEC Katsuhiro Onoda, Ryo Igarashi, Toshio Wada, Sho Nakanuma y Toru Tsujide propusieron el concepto de un chip de memoria de circuito integrado MOS tridimensional . [48]

Arm ha fabricado un chip de prueba de lógica 3D de alta densidad, [49] e Intel, con su paquete de chips de lógica 3D Foveros, está planeando enviar CPU que lo utilicen. [50] IBM demostró un fluido que podría usarse tanto para el suministro de energía como para la refrigeración de circuitos integrados 3D. [51]

Manifestaciones (1983-2012)

Japón (1983-2005)

Los primeros circuitos integrados 3D se demostraron con éxito en Japón en los años 1980 , donde la investigación y el desarrollo (I+D) sobre circuitos integrados 3D se iniciaron en 1981 con el "Proyecto de I+D de elementos de circuitos tridimensionales" de la Asociación de Investigación y Desarrollo para Futuros (Nuevos) Dispositivos Electrónicos. [52] Inicialmente se estaban investigando dos formas de diseño de circuitos integrados 3D, la recristalización y la unión de obleas , y las primeras demostraciones exitosas utilizaban la recristalización. [19] En octubre de 1983, un equipo de investigación de Fujitsu que incluía a S. Kawamura, Nobuo Sasaki y T. Iwai fabricó con éxito un circuito integrado de metal-óxido-semiconductor complementario (CMOS) tridimensional , utilizando recristalización con haz láser. Consistía en una estructura en la que un tipo de transistor se fabrica directamente sobre un transistor del tipo opuesto, con puertas separadas y un aislante en el medio. Se utilizó una doble capa de nitruro de silicio y una película de vidrio fosfosilicatado (PSG) como capa intermedia aislante entre los dispositivos superior e inferior. Esto proporcionó la base para la realización de un dispositivo 3D de múltiples capas compuesto por transistores apilados verticalmente, con puertas separadas y una capa aislante en el medio. [53] En diciembre de 1983, el mismo equipo de investigación de Fujitsu fabricó un circuito integrado 3D con una estructura CMOS de silicio sobre aislante (SOI). [54] Al año siguiente, fabricaron una matriz de puertas 3D con una estructura dual SOI/CMOS apilada verticalmente utilizando recristalización por haz. [55]

En 1986, los investigadores de Mitsubishi Electric Yoichi Akasaka y Tadashi Nishimura expusieron los conceptos básicos y propusieron tecnologías para los circuitos integrados 3D. [56] [57] Al año siguiente, un equipo de investigación de Mitsubishi que incluía a Nishimura, Akasaka y al graduado de la Universidad de Osaka Yasuo Inoue fabricó un procesador de señal de imagen (ISP) en un circuito integrado 3D, con una matriz de fotosensores , convertidores A-D CMOS , unidades lógicas aritméticas (ALU) y registros de desplazamiento dispuestos en una estructura de tres capas. [58] En 1989, un equipo de investigación de NEC dirigido por Yoshihiro Hayashi fabricó un circuito integrado 3D con una estructura de cuatro capas utilizando cristalización por haz láser. [59] [56] En 1990, un equipo de investigación de Matsushita que incluía a K. Yamazaki, Y. Itoh y A. Wada fabricó un procesador de señales de imagen paralelas en un IC 3D de cuatro capas, con capas SOI ( silicio sobre aislante ) formadas por recristalización láser, y las cuatro capas consistían en un sensor óptico , un detector de nivel, una memoria y una ALU. [60]

La forma más común de diseño de circuitos integrados 3D es la unión de obleas. [19] La unión de obleas se denominó inicialmente "IC unido acumulativamente" (CUBIC), que comenzó a desarrollarse en 1981 con el "Proyecto de investigación y desarrollo de elementos de circuitos tridimensionales" en Japón y se completó en 1990 por el equipo de investigación NEC de Yoshihiro Hayashi, que demostró un método en el que varios dispositivos de película delgada se unen acumulativamente, lo que permitiría una gran cantidad de capas de dispositivo. Propusieron la fabricación de dispositivos separados en obleas separadas, la reducción del espesor de las obleas, la provisión de cables delanteros y traseros y la conexión de los chips adelgazados entre sí. Utilizaron la tecnología CUBIC para fabricar y probar un dispositivo de dos capas activas de arriba a abajo, con una capa inferior de FET NMOS de silicio a granel y una capa superior de FET NMOS adelgazado, y propusieron la tecnología CUBIC que podría fabricar circuitos integrados 3D con más de tres capas activas. [56] [52] [61]

Los primeros chips apilados de circuitos integrados 3D fabricados con un proceso de vía a través de silicio (TSV) se inventaron en Japón en la década de 1980. Hitachi presentó una patente japonesa en 1983, seguida por Fujitsu en 1984. En 1986, una patente japonesa presentada por Fujitsu describía una estructura de chip apilado utilizando TSV. [52] En 1989, Mitsumasa Koyonagi de la Universidad de Tohoku fue pionero en la técnica de unión de oblea a oblea con TSV, que utilizó para fabricar un chip LSI 3D en 1989. [52] [62] [63] En 1999, la Asociación de Tecnologías Electrónicas Superavanzadas (ASET) en Japón comenzó a financiar el desarrollo de chips de circuitos integrados 3D utilizando tecnología TSV, llamado proyecto "I+D sobre tecnología de integración de sistemas electrónicos de alta densidad". [52] [64] El término "vía a través del silicio" (TSV) fue acuñado por los investigadores de Tru-Si Technologies Sergey Savastiouk, O. Siniaguine y E. Korczynski, quienes propusieron un método TSV para una solución de empaquetado a nivel de oblea (WLP) 3D en 2000. [65]

El Grupo Koyanagi de la Universidad de Tohoku , dirigido por Mitsumasa Koyanagi, utilizó la tecnología TSV para fabricar un chip de memoria de tres capas en 2000, un chip de retina artificial de tres capas en 2001, un microprocesador de tres capas en 2002 y un chip de memoria de diez capas en 2005. [62] El mismo año, un equipo de investigación de la Universidad de Stanford formado por Kaustav Banerjee , Shukri J. Souri, Pawan Kapur y Krishna C. Saraswat presentó un novedoso diseño de chip 3D que explota la dimensión vertical para aliviar los problemas relacionados con la interconexión y facilita la integración heterogénea de tecnologías para realizar un diseño de sistema en un chip (SoC). [66] [67]

En 2001, un equipo de investigación de Toshiba que incluía a T. Imoto, M. Matsui y C. Takubo desarrolló un proceso de unión de obleas de "módulo de bloque de sistema" para fabricar paquetes de circuitos integrados 3D. [56] [68]

Europa (1988-2005)

Fraunhofer y Siemens comenzaron a investigar sobre la integración de circuitos integrados en 3D en 1987. [52] En 1988, fabricaron dispositivos de circuitos integrados en 3D CMOS basados ​​en la recristalización de polisilicio. [69] En 1997, un equipo de investigación de Fraunhofer-Siemens que incluía a Peter Ramm, Manfred Engelhardt, Werner Pamler, Christof Landesberger y Armin Klumpp desarrolló el método de vía entre chips (ICV). [70] Fue el primer proceso industrial de circuitos integrados en 3D, basado en obleas de fabricación CMOS de Siemens. Una variación de ese proceso TSV se denominó posteriormente tecnología TSV-SLID (interdifusión sólido-líquido). [71] Era un enfoque para el diseño de circuitos integrados en 3D basado en la unión de obleas a baja temperatura y la integración vertical de dispositivos de circuitos integrados utilizando vías entre chips, que patentaron.

Ramm continuó desarrollando consorcios académicos e industriales para la producción de tecnologías de integración 3D relevantes. En el proyecto cooperativo VIC financiado por Alemania entre Siemens y Fraunhofer, demostraron un proceso completo de apilamiento de circuitos integrados 3D industrial (1993-1996). Con sus colegas de Siemens y Fraunhofer, Ramm publicó resultados que mostraban los detalles de procesos clave como la metalización 3D [T. Grassl, P. Ramm, M. Engelhardt, Z. Gabric, O. Spindler, First International Dielectrics for VLSI/ULSI Interconnection Metallization Conference – DUMIC, Santa Clara, CA, 20-22 de febrero de 1995] y en ECTC 1995 presentaron investigaciones tempranas sobre memoria apilada en procesadores. [72]

A principios de la década de 2000, un equipo de investigadores de Fraunhofer e Infineon Munich investigó las tecnologías TSV 3D con especial atención al apilamiento de matriz a sustrato dentro del proyecto alemán/austriaco EUREKA VSI e inició los proyectos de integración europeos e-CUBES, como primera plataforma de tecnología 3D europea, y e-BRAINS con, entre otros, Infineon, Siemens, EPFL, IMEC y Tyndall, donde se fabricaron y evaluaron demostradores de sistemas integrados 3D heterogéneos. Un enfoque particular del proyecto e-BRAINS fue el desarrollo de nuevos procesos de baja temperatura para sistemas de sensores integrados 3D altamente confiables. [73]

Estados Unidos (1999–2012)

La unión de obleas de cobre con cobre, también llamada conexiones Cu-Cu o unión de obleas Cu-Cu, fue desarrollada en el MIT por un equipo de investigación formado por Andy Fan, Adnan-ur Rahman y Rafael Reif en 1999. [19] [74] Reif y Fan investigaron más a fondo la unión de obleas Cu-Cu con otros investigadores del MIT, entre ellos Kuan-Neng Chen, Shamik Das, Chuan Seng Tan y Nisha Checka durante 2001-2002. [19] En 2003, DARPA y el Centro de Microelectrónica de Carolina del Norte (MCNC) comenzaron a financiar la I+D sobre tecnología de circuitos integrados 3D. [52]

En 2004, Tezzaron Semiconductor [75] construyó dispositivos 3D funcionales a partir de seis diseños diferentes. [76] Los chips se construyeron en dos capas con TSV de tungsteno "de vía primero" para la interconexión vertical. Se apilaron dos obleas cara a cara y se unieron con un proceso de cobre. La oblea superior se adelgazó y luego la pila de dos obleas se cortó en chips. El primer chip probado fue un registro de memoria simple, pero el más notable del conjunto fue una pila de procesador/memoria 8051 [77] que exhibió una velocidad mucho mayor y un consumo de energía menor que un ensamblaje 2D análogo.

En 2004, Intel presentó una versión 3D de la CPU Pentium 4. [78] El chip se fabricó con dos matrices utilizando apilamiento cara a cara, lo que permitió una estructura de vías densa. Las TSV de la parte posterior se utilizan para E/S y fuente de alimentación. Para el plano de planta 3D, los diseñadores organizaron manualmente los bloques funcionales en cada matriz con el objetivo de reducir el consumo de energía y mejorar el rendimiento. La división de bloques grandes y de alta potencia y una reorganización cuidadosa permitieron limitar los puntos calientes térmicos. El diseño 3D proporciona una mejora del rendimiento del 15% (debido a las etapas de canalización eliminadas) y un ahorro de energía del 15% (debido a los repetidores eliminados y al cableado reducido) en comparación con el Pentium 4 2D.

El chip de investigación Teraflops presentado en 2007 por Intel es un diseño experimental de 80 núcleos con memoria apilada. Debido a la alta demanda de ancho de banda de memoria, un enfoque de E/S tradicional consumiría entre 10 y 25 W. [38] Para mejorar eso, los diseñadores de Intel implementaron un bus de memoria basado en TSV. Cada núcleo está conectado a un mosaico de memoria en la matriz SRAM con un enlace que proporciona un ancho de banda de 12 GB/s, lo que da como resultado un ancho de banda total de 1 TB/s mientras consume solo 2,2 W.

En 2008 , el profesor Eby Friedman y sus estudiantes presentaron en la Universidad de Rochester una implementación académica de un procesador 3D. El chip funciona a 1,4 GHz y fue diseñado para un procesamiento vertical optimizado entre los chips apilados, lo que le otorga al procesador 3D capacidades que el chip tradicional de una sola capa no podía alcanzar. [79] Uno de los desafíos en la fabricación del chip tridimensional fue hacer que todas las capas funcionaran en armonía sin ningún obstáculo que pudiera interferir con el paso de una pieza de información de una capa a otra. [80]

En ISSCC 2012, se presentaron y demostraron dos diseños multinúcleo basados ​​en 3D-IC que utilizan el proceso de 130 nm de GlobalFoundries y la tecnología FaStack de Tezzaron:

Aunque se han lanzado muchas capas, los grupos de investigación y desarrollo de semiconductores e investigación de IBM diseñaron y fabricaron con éxito una serie de pilas de procesadores 3D a partir de 2007 y 2008. Estas pilas (denominadas internamente Escher) han demostrado una implementación exitosa de pilas de procesadores, lógicas y de eDRAM, así como experimentos clave en caracterización de potencia, temperatura, ruido y confiabilidad de chips 3D. [6]

Circuitos integrados 3D comerciales (2004-actualidad)

La consola de juegos portátil PlayStation Portable (PSP) de Sony , lanzada en 2004, es el primer producto comercial que utiliza un IC 3D, un chip de memoria eDRAM fabricado por Toshiba en un sistema en paquete 3D .

El primer uso comercial conocido de un chip IC 3D fue en la consola de juegos portátil PlayStation Portable (PSP) de Sony , lanzada en 2004. El hardware de la PSP incluye memoria eDRAM ( DRAM integrada ) fabricada por Toshiba en un chip de sistema en paquete 3D con dos matrices apiladas verticalmente. [14] Toshiba lo llamó "DRAM semiintegrada" en ese momento, antes de llamarlo más tarde una solución " chip sobre chip " (CoC) apilada. [14] [83]

En abril de 2007, Toshiba comercializó un IC 3D de ocho capas, el chip de memoria flash NAND integrado THGAM de 16 GB , que se fabricó con ocho chips flash NAND de 2 GB apilados. [84] En septiembre de 2007, Hynix introdujo la tecnología de IC 3D de 24 capas, con un chip de memoria flash de 16 GB que se fabricó con 24 chips flash NAND apilados mediante un proceso de unión de obleas. [85] Toshiba también utilizó un IC 3D de ocho capas para su chip flash THGBM de 32 GB en 2008. [86] En 2010, Toshiba utilizó un IC 3D de 16 capas para su chip flash THGBM2 de 128 GB, que se fabricó con 16 chips apilados de 8 GB. [87] En la década de 2010, los IC 3D entraron en uso comercial generalizado en forma de paquetes de múltiples chips y soluciones de paquete sobre paquete para memoria flash NAND en dispositivos móviles . [14]       

Elpida Memory desarrolló el primer chip DRAM de 8 GB (apilado con cuatro matrices SDRAM DDR3 ) en septiembre de 2009 y lo lanzó en junio de 2011. [88] TSMC anunció planes para la producción de IC 3D con tecnología TSV en enero de 2010. [88] En 2011, SK Hynix introdujo 16 GB DDR3 SDRAM ( clase de 40 nm ) utilizando tecnología TSV, [89] Samsung Electronics introdujo 32 GB DDR3 ( clase de 30 nm ) apilados en 3D basados ​​en TSV en septiembre, y luego Samsung y Micron Technology anunciaron la tecnología Hybrid Memory Cube (HMC) basada en TSV en octubre. [88]       

Corte una tarjeta gráfica que utiliza memoria de alto ancho de banda (HBM), basada en tecnología de circuitos integrados 3D a través de silicio (TSV).

La memoria de alto ancho de banda (HBM), desarrollada por Samsung, AMD y SK Hynix, utiliza chips apilados y TSV. El primer chip de memoria HBM fue fabricado por SK Hynix en 2013. [89] En enero de 2016, Samsung Electronics anunció la producción en masa temprana de HBM2 , de hasta 8 GB por pila. [90] [91]

En 2017, Samsung Electronics combinó el apilamiento de IC 3D con su tecnología 3D  V-NAND (basada en la tecnología flash de trampa de carga  ), fabricando su chip de memoria flash KLUFG8R1EM de 512 GB con ocho chips V-NAND de 64 capas apilados. [92] En 2019, Samsung produjo un chip flash de 1 TB con 16 matrices V-NAND apiladas. [93] [94] A partir de 2018, Intel está considerando el uso de IC 3D para mejorar el rendimiento. [95] A partir de 2022 , los chips NAND de 232 capas, es decir, dispositivos de memoria, son fabricados por Micron, [96] que anteriormente en abril de 2019 fabricaban chips de 96 capas; y Toshiba fabricó dispositivos de 96 capas en 2018. 

En 2022, AMD presentó los procesadores Zen 4 , y algunos procesadores Zen 4 tienen caché 3D incluido.

Véase también

Notas

  1. ^ Hu, YH; Liu, CS; Lii, MJ; Rebibis, KJ; Jourdain, A.; La Manna, A.; Beyne, E.; Yu, CH (2012). "Enlace híbrido Cu-Cu como opción para el apilamiento de circuitos integrados 3D". Conferencia Internacional de Tecnología de Interconexión IEEE 2012. págs. 1–3. doi :10.1109/IITC.2012.6251571. ISBN. 978-1-4673-1137-3.
  2. ^ Unión de obleas: aplicaciones y tecnología. Springer. 9 de marzo de 2013. ISBN 978-3-662-10827-7.
  3. ^ "SEMI.ORG" (PDF) . Archivado (PDF) del original el 24 de septiembre de 2015.
  4. ^ "¿Qué es la integración 3D? - 3D InCites". Archivado desde el original el 30 de diciembre de 2014.
  5. ^ abcd J. Knechtel, O. Sinanoglu, IM Elfadel, J. Lienig, CCN Sze, "Chips 3D a gran escala: desafíos y soluciones para la automatización del diseño, las pruebas y la integración confiable" Archivado el 7 de agosto de 2017 en Wayback Machine , en IPSJ Transactions on System LSI Design Methodology, vol. 10, págs. 45–62, agosto de 2017
  6. ^ "HOJA DE RUTA TECNOLÓGICA INTERNACIONAL PARA SEMICONDUCTORES EDICIÓN 2011" (PDF) . Archivado desde el original (PDF) el 2014-12-30 . Consultado el 2014-12-30 .
  7. ^ Lau, John y Tzeng, Pei-Jer y Lee, Ching-Kuan y Zhan, C. y Li, Ming y Cline, J. y Saito, K. y Hsin, Y. y Chang, P. y Chang, Yiu-Hsiang y Chen, J. y Chen, Shang-Chun y Wu, C. y Chang, H. y Chien, C. y Lin, C. y Ku, Tzu Kun y Lo, Robert y Kao, M. (2013). Capas de redistribución (RDL) para la integración de circuitos integrados 2,5D/3D. Simposio internacional sobre microelectrónica. 2013. 000434-000441. 10.4071/isom-2013-WA12.
  8. ^ "Xilinx y TSMC: producción en serie de piezas 3D". 31 de julio de 2023.
  9. ^ Lau, John H. (3 de abril de 2019). Integraciones heterogéneas. Springer. ISBN 9789811372247.
  10. ^ "Empaquetado avanzado". Ingeniería de semiconductores .
  11. ^ "Comienza la carrera por los chips y los envases 3D de última generación". 31 de enero de 2022.
  12. ^ "Hoja de ruta para el empaquetado avanzado 2.5D/3D". 31 de julio de 2023.
  13. ^ "Comparación de la NAND 3D de Samsung con los circuitos integrados 3D tradicionales". 16 de agosto de 2013.
  14. ^ abcd James, Dick (2014). "CI 3D en el mundo real". 25.ª Conferencia anual sobre fabricación avanzada de semiconductores de SEMI (ASMC 2014) . págs. 113-119. doi :10.1109/ASMC.2014.6846988. ISBN 978-1-4799-3944-2.S2CID42565898  .​
  15. ^ "Samsung inicia la producción de módulos DRAM 3D DDR4". 27 de agosto de 2014. Archivado desde el original el 31 de diciembre de 2014.
  16. ^ Michallet, Jean-Eric. "CoolCube™: una verdadera alternativa 3DVLSI al escalado". www.3DInCites.com . Archivado desde el original el 22 de enero de 2016. Consultado el 24 de marzo de 2014 .
  17. ^ von Trapp, Francoise (16 de marzo de 2015). «Monolithic 3D IC Heats Up at DATE 2015». 3D InCites . Archivado desde el original el 2 de abril de 2015. Consultado el 16 de marzo de 2015 .
  18. ^ Maestre Caro, A.; Travaly, Y.; Maes, G.; Borghs, G.; Armini, S. (2011). "Habilitación de la conexión Cu-Cu en interconexiones de damascena (dobles) mediante la deposición selectiva de dos moléculas SAM diferentes". Conferencia Internacional de Tecnología de Interconexión IEEE 2011. págs. 1–3. doi :10.1109/IITC.2011.5940263. ISBN 978-1-4577-0503-8.S2CID30235970  .​
  19. ^ abcde Reif, Rafael; Tan, Chuan Seng; Fan, Andy; Chen, Kuan-Neng; Das, Shamik; Checka, Nisha (2002). "Interconexiones 3-D mediante unión de obleas de Cu: tecnología y aplicaciones" (PDF) . Advanced Metallization Conference : 37–44. S2CID  2514964. Archivado desde el original (PDF) el 15 de julio de 2019 . Consultado el 15 de julio de 2019 .
  20. ^ Yoon, Seung Wook; Ku, Jae Hoon; Suthiwongsunthorn, Nathapong; Marimuthu, Pandi Chelvam; Carson, Flynn (2009). "Fabricación y empaquetado de interconexiones de microprotuberancias para TSV 3D". Conferencia internacional IEEE de 2009 sobre integración de sistemas 3D . págs. 1–5. doi :10.1109/3DIC.2009.5306554. ISBN. 978-1-4244-4511-0.S2CID11139525  .​
  21. ^ Real World Technologies. «Integración 3D: una revolución en el diseño». 2 de mayo de 2007. «Integración 3D: una revolución en el diseño». Archivado desde el original el 22 de diciembre de 2010. Consultado el 18 de marzo de 2011 .
  22. ^ Chen, DY; Chiou, WC; Chen, MF; Wang, TD; Ching, KM; Tu, HJ; Wu, WJ; Yu, CL; Yang, KF; Chang, HB; Tseng, MH; Hsiao, CW; Lu, YJ; Hu, HP; Lin, YC; Hsu, CS; Shue, Winston S.; Yu, CH (2009). "Habilitación de tecnologías de fundición de circuitos integrados tridimensionales para nodos de 28 nm y más allá: integración a través de silicio con apilamiento de matriz a oblea de alto rendimiento". Reunión internacional de dispositivos electrónicos (IEDM) del IEEE de 2009. págs. 1–4. doi :10.1109/IEDM.2009.5424350. ISBN . 978-1-4244-5639-0. Número de identificación del sujeto  35980364.
  23. ^ Desarrollador, Shed. "3D Processors, Stacking Core". 20 de septiembre de 2005. "3D Processors, Stacking Cores". Archivado desde el original el 16 de marzo de 2012. Consultado el 29 de octubre de 2012 .,
  24. ^ Desarrollador, Shed. "3D Processors, Stacking Core". 20 de septiembre de 2005. "Página 2 - Procesadores 3D, núcleos apilados". Archivado desde el original el 9 de julio de 2011. Consultado el 24 de febrero de 2011 .
  25. ^ Xiangyu Dong y Yuan Xie, "Análisis de costos a nivel de sistema y exploración de diseño para circuitos integrados 3D", Proc. of Asia and South Pacific Design Automation Conference, 2009, "Página de investigación de circuitos integrados 3D de Yuan Xie". Archivado desde el original el 24 de abril de 2010. Consultado el 20 de mayo de 2010 .
  26. ^ "La tecnología 3D IC ofrece el paquete completo" "La tecnología 3D IC ofrece el paquete completo". Archivado desde el original el 2010-10-31 . Consultado el 2011-01-27 .Diseño electrónico 02 de julio de 2010
  27. ^ James JQ Lu, Ken Rose y Susan Vitkavage "Integración 3D: ¿Por qué, qué, quién y cuándo?" "Integración 3D: ¿Por qué, qué, quién y cuándo?". Archivado desde el original el 12 de febrero de 2008. Consultado el 22 de enero de 2008 .Future Fab Intl. Volumen 23, 2007
  28. ^ William J. Dally, "Future Directions for On-Chip Interconnection Networks" página 17, "Copia archivada" (PDF) . Archivado (PDF) desde el original el 2010-06-12 . Consultado el 2008-01-22 .{{cite web}}: CS1 maint: copia archivada como título ( enlace )Laboratorio de sistemas informáticos de la Universidad de Stanford, 2006
  29. ^ Johnson, R Colin. «Pilas de chips 3-D estandarizadas». 10 de julio de 2008. «Pilas de chips 3-D estandarizadas». Archivado desde el original el 30 de septiembre de 2012. Consultado el 15 de mayo de 2014 .
  30. ^ "3D-ICs and Integrated Circuit Security" "Copia archivada" (PDF) . Archivado (PDF) desde el original el 2008-09-07 . Consultado el 2008-02-08 .{{cite web}}: CS1 maint: copia archivada como título ( enlace )Semiconductor Tezzaron, 2008
  31. ^ Dong Hyuk Woo, Nak Hee Seong, Dean L. Lewis y Hsien-Hsin S. Lee. "Una arquitectura de memoria apilada en 3D optimizada mediante el aprovechamiento de un ancho de banda TSV excesivo y de alta densidad". En Actas del 16.º Simposio internacional sobre arquitectura informática de alto rendimiento, págs. 429-440, Bangalore, India, enero de 2010.
  32. ^ "Predicción del rendimiento de una pila de procesador y chip de memoria 3D" Jacob, P., McDonald, JF et al. Diseño y prueba de computadoras, IEEE Volumen 22, Número 6, noviembre-diciembre de 2005 Página(s): 540-547
  33. ^ A. Palesko, The Cost of 3D ICs, 3D InCites Knowledge Portal, 9 de enero de 2015 "The Cost of 3D ICs". 9 de enero de 2015. Archivado desde el original el 9 de enero de 2015. Consultado el 9 de enero de 2015 .
  34. ^ Robert Patti (2007). "Impacto del apilamiento 3D a nivel de oblea en el rendimiento de los circuitos integrados". Future Fab International . N.º 23. Archivado desde el original el 17 de mayo de 2014. Consultado el 15 de mayo de 2014 .
  35. ^ ab Hsien-Hsin S. Lee y Krishnendu Chakrabarty, "Desafíos de prueba para circuitos integrados 3D", IEEE Design and Test of Computers, número especial sobre diseño y prueba de circuitos integrados 3D, vol. 26, n.º 5, págs. 26-35, sep./oct. 2009
  36. ^ ""Los tres grandes fabricantes de EDA no están preparados para el empaquetado de chips en 3D". EE Times Asia, 25 de octubre de 2007". Eetasia.com. Archivado desde el original el 18 de julio de 2008. Consultado el 15 de mayo de 2014 .
  37. ^ abcd DH Kim, S. Mukhopadhyay, SK Lim, "Predicción y optimización de interconexiones con detección de silicio a través de la vía para circuitos integrados apilados en 3D", en Proc. of Int. Workshop Sys.-Level Interconn. Pred., 2009, págs. 85–92.
  38. ^ ab S. Borkar, "Integración 3D para el diseño de sistemas energéticamente eficientes", en Proc. Design Autom. Conf., 2011, págs. 214-219.
  39. ^ ""Estandarización de pilas de chips 3D". EE Times, 7 de noviembre de 2008. Eetimes.com. 2014-05-09. Archivado desde el original el 30 de septiembre de 2012. Consultado el 15 de mayo de 2014 .
  40. ^ ""El Programa de Normas Internacionales de SEMI forma un Comité de Normas de Circuitos Integrados Apilados en 3D". Comunicado de prensa de SEMI del 7 de diciembre de 2010". Semi.org. 2010-12-07. Archivado desde el original el 17 de mayo de 2014. Consultado el 15 de mayo de 2014 .
  41. ^ ""EMPAQUETADO AVANZADO: Escenarios de tecnologías 3D TSV: ¿Vía primero o vía último? Informe de 2010". Informe de Yole, 2010". I-micronews.com. 2010-01-01. Archivado desde el original el 2014-05-17 . Consultado el 2014-05-15 .
  42. ^ "Si, intercaladores de vidrio para envases 3D: opiniones de los analistas". Envases avanzados 10 de agosto de 2010 Archivado el 14 de marzo de 2011 en Wayback Machine .
  43. ^ ab J. Knechtel, IL Markov, J. Lienig, "Ensamblaje de bloques 2D en chips 3D" Archivado el 4 de marzo de 2016 en Wayback Machine , en IEEE Trans. on CAD of ICs and Systems, vol. 31, n.º 2, págs. 228–241, febrero de 2012
  44. ^ S. Garg, D. Marculescu, "3D-GCP: Un modelo analítico para el impacto de las variaciones de proceso en la distribución del retardo de la ruta crítica de los circuitos integrados 3D", en Proc. Int. Symp. Quality Electron. Des., 2009, págs. 147-155
  45. ^ LK Scheffer, "Implicaciones CAD de las nuevas tecnologías de interconexión", en Proc. Design Autom. Conf., 2007, págs. 576–581.
  46. ^ Moskowitz, Sanford L. (2016). Innovación en materiales avanzados: gestión de la tecnología global en el siglo XXI. John Wiley & Sons . pp. 165–167. ISBN 978-0-470-50892-3.
  47. ^ Patente estadounidense 3.613.226
  48. ^ Patente estadounidense 3.651.490
  49. ^ "Una mirada a Trishul: el primer chip de prueba apilado lógico 3D de alta densidad de Arm". WikiChip Fuse . 2021-06-11 . Consultado el 2022-10-05 .
  50. ^ Lobo, Savia (13 de diciembre de 2018). «Intel presenta la primera tecnología de empaquetado de chips 3D Logic, 'Foveros', que impulsa sus nuevos chips de 10 nm, 'Sunny Cove'». Packt Hub . Consultado el 5 de octubre de 2022 .
  51. ^ "IBM está intentando resolver todos los problemas de escalabilidad de la informática con sangre electrónica 5D". 7 de noviembre de 2015.
  52. ^ abcdefg Kada, Morihiro (2015). "Historia de la investigación y el desarrollo de la tecnología de integración tridimensional" (PDF) . Integración tridimensional de semiconductores: procesamiento, materiales y aplicaciones . Springer. págs. 8-13. ISBN 978-3-319-18675-7Archivado desde el original (PDF) el 23 de octubre de 2021. Consultado el 19 de julio de 2019 .
  53. ^ Kawamura, S.; Sasaki, Nobuo; Iwai, T.; Nakano, M.; Takagi, M. (octubre de 1983). "CI CMOS tridimensionales fabricados mediante recristalización por haz". IEEE Electron Device Letters . 4 (10): 366–368. Bibcode :1983IEDL....4..366K. doi :10.1109/EDL.1983.25766. ISSN  0741-3106. S2CID  35184408.
  54. ^ Kawamura, S.; Sasaki, N.; Iwai, T.; Mukai, R.; Nakano, M.; Takagi, M. (diciembre de 1983). "CI SOI/CMOS tridimensionales fabricados mediante recristalización por haz". Reunión internacional de dispositivos electrónicos de 1983. págs. 364–367. doi :10.1109/IEDM.1983.190517. S2CID  11689645.
  55. ^ Kawamura, S.; Sasaki, Nobuo; Iwai, T.; Mukai, R.; Nakano, M.; Takagi, M. (1984). Matriz de puertas tridimensional con estructura SOI/CMOS dual apilada verticalmente fabricada por recristalización de haz. págs. 44–45.
  56. ^ abcd Garrou, Philip (6 de agosto de 2008). "Introducción a la integración 3D" (PDF) . Manual de integración 3D: tecnología y aplicaciones de circuitos integrados 3D . Wiley-VCH . pág. 4. doi :10.1002/9783527623051.ch1. ISBN. 978-3-527-62305-1.
  57. ^ Akasaka, Yoichi; Nishimura, T. (diciembre de 1986). "Concepto y tecnologías básicas para la estructura de circuitos integrados tridimensionales". 1986 International Electron Devices Meeting . págs. 488–491. doi :10.1109/IEDM.1986.191227. S2CID  10393330.
  58. ^ Nishimura, T.; Inoue, Yasuo; Sugahara, K.; Kusunoki, S.; Kumamoto, T.; Nakagawa, S.; Nakaya, M.; Horiba, Yasutaka; Akasaka, Yoichi (diciembre de 1987). "CI tridimensional para procesador de señales de imagen de alto rendimiento". 1987 International Electron Devices Meeting . págs. 111–114. doi :10.1109/IEDM.1987.191362. S2CID  12936958.
  59. ^ Hayashi, Yoshihiro; Kunio, T.; Oyama, K.; Morimoto, M. (diciembre de 1989). "CI tridimensionales con cuatro capas de dispositivo activo apiladas". International Technical Digest on Electron Devices Meeting . págs. 837–840. doi :10.1109/IEDM.1989.74183. S2CID  113995937.
  60. ^ Yamazaki, K.; Itoh, Y.; Wada, A.; Morimoto, K.; Tomita, Y. (diciembre de 1990). "Tecnologías de circuitos integrados tridimensionales de 4 capas para el procesamiento de señales en paralelo". International Technical Digest on Electron Devices : 599–602. doi :10.1109/IEDM.1990.237127. S2CID  114856400.
  61. ^ Hayashi, Yoshihiro; Wada, S.; Kajiyana, K.; Oyama, K.; Koh, R.; Takahashi, S.; Kunio, T. (1990). "Fabricación de circuitos integrados tridimensionales utilizando la tecnología 'IC de enlace acumulativo' (CUBIC)". Digest of Technical Papers.1990 Symposium on VLSI Technology : 95–96. doi :10.1109/VLSIT.1990.111025. S2CID  27465273.
  62. ^ ab Fukushima, T.; Tanaka, T.; Koyanagi, Mitsumasa (2007). "Temas térmicos de los circuitos integrados 3D" (PDF) . SEMATECH . Universidad de Tohoku . Archivado desde el original (PDF) el 16 de mayo de 2017 . Consultado el 16 de mayo de 2017 .
  63. ^ Tanaka, Tetsu; Lee, Kang Wook; Fukushima, Takafumi; Koyanagi, Mitsumasa (2011). "Tecnología de integración 3D e integración heterogénea". IEICE Transactions on Electronics . J94-C (11): 355–364. S2CID  62780117.
  64. ^ Takahashi, Kenji; Tanida, Kazumasa (2011). "Interconexión vertical por ASET". Manual de integración 3D, volumen 1: Tecnología y aplicaciones de circuitos integrados 3D . John Wiley & Sons. pág. 339. ISBN 978-3-527-62306-8.
  65. ^ Savastionk, S.; Siniaguine, O.; Korczynski, E. (2000). "Vías a través del silicio para WLP 3D". Actas del Simposio internacional sobre procesos, propiedades e interfaces de materiales de empaquetado avanzados (Cat. No.00TH8507) . págs. 206–207. doi :10.1109/ISAPM.2000.869271. ISBN 0-930815-59-9.S2CID110397071  .​
  66. ^ Lavanyashree, BJ (agosto de 2016). «CI tridimensionales (3D): una encuesta» (PDF) . Revista internacional de aplicaciones digitales e investigación contemporánea . 5 (1). Archivado desde el original (PDF) el 4 de marzo de 2019.
  67. ^ Banerjee, Kaustav ; Souri, Shukri J.; Kapur, Pawan; Saraswat, Krishna C. (2001). "CI 3-D: un nuevo diseño de chip para mejorar el rendimiento de interconexión de submicrometría profunda y la integración de sistemas en chip". Actas del IEEE . 89 (5): 602–633. doi :10.1109/5.929647. ISSN  0018-9219. S2CID  5786126.
  68. ^ Imoto, T.; Matsui, M.; Takubo, C.; Akejima, S.; Kariya, T.; Nishikawa, T.; Enomoto, R. (2001). "Desarrollo de un paquete de módulo tridimensional, "módulo de bloque de sistema"". Conferencia sobre componentes y tecnología electrónica (51). Instituto de Ingenieros Eléctricos y Electrónicos : 552–7. ISBN 0780370384.
  69. ^ Ramm, Peter (22 de enero de 2016). «Fraunhofer EMFT: nuestro trabajo inicial y continuo en integración 3D». 3D InCites . Consultado el 22 de septiembre de 2019 .
  70. ^ Ramm, P.; Bollmann, D.; Braun, R.; Buchner, R.; Cao-Minh, U.; et al. (noviembre de 1997). "Metalización tridimensional para circuitos integrados verticalmente". Ingeniería microelectrónica . 37–38: 39–47. doi :10.1016/S0167-9317(97)00092-0. S2CID  22232571.
  71. ^ Macchiolo, A.; Andricek, L.; Moser, HG; Nisius, R.; Richter, RH; Weigell, P. (1 de enero de 2012). "Tecnología de integración vertical SLID-ICV para las actualizaciones de píxeles de ATLAS". Physics Procedia . 37 : 1009–1015. arXiv : 1202.6497 . Código Bibliográfico :2012PhPro..37.1009M. doi :10.1016/j.phpro.2012.02.444. ISSN  1875-3892. S2CID  91179768.
  72. ^ MB Kleiner, SA Kuehn, P. Ramm, W. Weber, Transacciones IEEE sobre componentes, empaquetado y tecnología de fabricación - Parte B, vol. 19, n.º 4 (1996)
  73. ^ "INICIO".
  74. ^ Fan, Andy; Rahman, Adnan-ur; Reif, Rafael (2 de febrero de 1999). "Unión de obleas de cobre". Electrochemical and Solid-State Letters . 2 (10): 534. doi :10.1149/1.1390894. S2CID  98300746.
  75. ^ "Tezzaron Semiconductor: el camino Z hacia adelante". Tezzaron Semiconductor . Consultado el 19 de julio de 2019 .
  76. ^ "Seis diseños 3D preceden a las afirmaciones de ahorro de energía del 90% de Tezzaron - EE Times". Archivado desde el original el 31 de octubre de 2014.
  77. ^ Cole, Bernard (22 de mayo de 2005). "Terrazon aplica tecnología de apilamiento 3D al núcleo MCU 8051". EETimes . Consultado el 10 de agosto de 2020 .
  78. ^ B. Black, D. Nelson, C. Webb y N. Samra, "Tecnología de procesamiento 3D y su impacto en los microprocesadores iA32", en Proc. of Int. Conf. on Computer Design, págs. 316–318, 2004.
  79. ^ Steve Seguin (16 de septiembre de 2008). "Seguin, Steve. "Se crea el primer procesador 3D apilado del mundo". 16 de septiembre de 2008". Tomshardware.com . Consultado el 15 de mayo de 2014 .
  80. ^ "Science Daily. "Procesador informático 3-D: el 'cubo de Rochester' señala el camino hacia diseños de chips más potentes". 17 de septiembre de 2008". Sciencedaily.com. Archivado desde el original el 17 de mayo de 2014. Consultado el 15 de mayo de 2014 .
  81. ^ Página web del proyecto 3D-MAPS en Georgia Tech «Procesador de múltiples núcleos 3D-MAPS». Archivado desde el original el 8 de marzo de 2015. Consultado el 2 de abril de 2012 .
  82. ^ "Centip3De: un sistema de 64 núcleos, apilado en 3D y de umbral cercano" (PDF) .
  83. ^ "System-in-Package (SiP)". Toshiba . Archivado desde el original el 3 de abril de 2010 . Consultado el 3 de abril de 2010 .
  84. ^ "TOSHIBA COMERCIALIZA LA MEMORIA FLASH NAND INTEGRADA DE MAYOR CAPACIDAD DE LA INDUSTRIA PARA PRODUCTOS MÓVILES DE CONSUMO". Toshiba . 17 de abril de 2007. Archivado desde el original el 23 de noviembre de 2010 . Consultado el 23 de noviembre de 2010 .
  85. ^ "Hynix sorprende a la industria de chips NAND". Korea Times . 5 de septiembre de 2007 . Consultado el 8 de julio de 2019 .
  86. ^ "Toshiba lanza los dispositivos de memoria flash NAND integrados de mayor densidad". Toshiba . 7 de agosto de 2008 . Consultado el 21 de junio de 2019 .
  87. ^ "Toshiba lanza los módulos de memoria flash NAND integrados más grandes de la industria". Toshiba . 17 de junio de 2010 . Consultado el 21 de junio de 2019 .
  88. ^ abc Kada, Morihiro (2015). "Historia de la investigación y el desarrollo de la tecnología de integración tridimensional". Integración tridimensional de semiconductores: procesamiento, materiales y aplicaciones . Springer. págs. 15–8. ISBN 978-3-319-18675-7.
  89. ^ ab "Historia: década de 2010". SK Hynix . Archivado desde el original el 17 de mayo de 2021 . Consultado el 8 de julio de 2019 .
  90. ^ "Samsung comienza a producir en masa la DRAM más rápida del mundo, basada en la más reciente interfaz de memoria de alto ancho de banda (HBM)". news.samsung.com .
  91. ^ Hruska, Joel (19 de enero de 2016). «Samsung anuncia la producción en masa de la memoria HBM2 de próxima generación – ExtremeTech». Extremetech .
  92. ^ Shilov, Anton (5 de diciembre de 2017). "Samsung inicia la producción de memoria flash UFS NAND de 512 GB: V-NAND de 64 capas, lecturas de 860 MB/s". AnandTech . Consultado el 23 de junio de 2019 .
  93. ^ Manners, David (30 de enero de 2019). «Samsung fabrica un módulo eUFS flash de 1 TB». Electronics Weekly . Consultado el 23 de junio de 2019 .
  94. ^ Tallis, Billy (17 de octubre de 2018). "Samsung comparte la hoja de ruta de SSD para QLC NAND y 96-layer 3D NAND". AnandTech . Consultado el 27 de junio de 2019 .
  95. ^ "Intel presenta una forma revolucionaria de fabricar chips 3D". Engadget . 8 de agosto de 2019.
  96. ^ Smith, Ryan. "La NAND de 232 capas de Micron ya está disponible: chips de 6 planos y 1 Tbit con un 50 % más de ancho de banda de E/S". www.anandtech.com . Consultado el 3 de agosto de 2022 .

Referencias

Lectura adicional

Enlaces externos